Entity: neorv32_imem

Diagram

std_ulogic_vector(31 downto 0) IMEM_BASE natural IMEM_SIZE boolean IMEM_AS_IROM std_ulogic clk_i std_ulogic rden_i std_ulogic wren_i std_ulogic_vector(03 downto 0) ben_i std_ulogic_vector(31 downto 0) addr_i std_ulogic_vector(31 downto 0) data_i std_ulogic_vector(31 downto 0) data_o std_ulogic ack_o

Description

#################################################################################################

<< NEORV32 - Processor-internal instruction memory (IMEM) >>

*

This memory optionally includes the in-place executable image of the application. See the

processor's documentary to get more information.

*

BSD 3-Clause License

#

Copyright (c) 2021, Stephan Nolting. All rights reserved.

#

Redistribution and use in source and binary forms, with or without modification, are

permitted provided that the following conditions are met:

#

1. Redistributions of source code must retain the above copyright notice, this list of

conditions and the following disclaimer.

#

2. Redistributions in binary form must reproduce the above copyright notice, this list of

conditions and the following disclaimer in the documentation and/or other materials

provided with the distribution.

#

3. Neither the name of the copyright holder nor the names of its contributors may be used to

endorse or promote products derived from this software without specific prior written

permission.

#

THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS

OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF

MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE

COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,

EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE

GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED

AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING

NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED

OF THE POSSIBILITY OF SUCH DAMAGE.

*

The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting

################################################################################################# this file is generated by the image generator

Generics

Generic name Type Value Description
IMEM_BASE std_ulogic_vector(31 downto 0) memory base address
IMEM_SIZE natural processor-internal instruction memory size in bytes
IMEM_AS_IROM boolean implement IMEM as pre-initialized read-only memory?

Ports

Port name Direction Type Description
clk_i in std_ulogic global clock line
rden_i in std_ulogic read enable
wren_i in std_ulogic write enable
ben_i in std_ulogic_vector(03 downto 0) byte write enable
addr_i in std_ulogic_vector(31 downto 0) address
data_i in std_ulogic_vector(31 downto 0) data in
data_o out std_ulogic_vector(31 downto 0) data out
ack_o out std_ulogic transfer acknowledge

Signals

Name Type Description
acc_en std_ulogic local signals --
rdata std_ulogic_vector(31 downto 0)
rden std_ulogic
addr std_ulogic_vector(index_size_f(IMEM_SIZE/4)-1 downto 0)
mem_rom_rd std_ulogic_vector(31 downto 0) read data --
mem_ram_b0 mem8_t(0 to IMEM_SIZE/4-1) -------------------------------------------------------------------------------------------------------------- -- The memory (RAM) is built from 4 individual byte-wide memories b0..b3, since some synthesis tools have -- problems with 32-bit memories that provide dedicated byte-enable signals AND/OR with multi-dimensional arrays. -- -------------------------------------------------------------------------------------------------------------- -- RAM - not initialized at all --
mem_ram_b1 mem8_t(0 to IMEM_SIZE/4-1)
mem_ram_b2 mem8_t(0 to IMEM_SIZE/4-1)
mem_ram_b3 mem8_t(0 to IMEM_SIZE/4-1)
mem_b0_rd std_ulogic_vector(7 downto 0) read data --
mem_b1_rd std_ulogic_vector(7 downto 0) read data --
mem_b2_rd std_ulogic_vector(7 downto 0) read data --
mem_b3_rd std_ulogic_vector(7 downto 0) read data --

Constants

Name Type Value Description
hi_abb_c natural 31 high address boundary bit
lo_abb_c natural index_size_f(IMEM_SIZE) low address boundary bit
imem_app_size_c natural (application_init_image'length)*4 --------------------------- -- IMEM as pre-initialized ROM -- --------------------------- -- application (image) size in bytes --
mem_rom mem32_t(0 to IMEM_SIZE/4-1) mem32_init_f(application_init_image,
IMEM_SIZE/4)
ROM - initialized with executable code --

Processes

Description
Bus Feedback --------------------------------------------------------------------------- -------------------------------------------------------------------------------------------