Package: io
- File: io.pkg.vhdl
Types
Name | Type | Description |
---|---|---|
T_IO_TRISTATE | ||
T_IO_LVDS | ||
T_IO_TRISTATE_VECTOR | array(natural range <>) of T_IO_TRISTATE | Do not use this type for inout ports of synthesizable IP cores to drive values in both directions, see also :ref:ISSUES:General:inout_records . |
T_IO_LVDS_VECTOR | array(natural range <>) of T_IO_LVDS | |
T_IO_DATARATE | (IO_DATARATE_SDR, IO_DATARATE_DDR, IO_DATARATE_QDR) |
|
T_IO_7SEGMENT_CHAR | ( IO_7SEGMENT_CHAR_0, IO_7SEGMENT_CHAR_1, IO_7SEGMENT_CHAR_2, IO_7SEGMENT_CHAR_3, IO_7SEGMENT_CHAR_4, IO_7SEGMENT_CHAR_5, IO_7SEGMENT_CHAR_6, IO_7SEGMENT_CHAR_7, IO_7SEGMENT_CHAR_8, IO_7SEGMENT_CHAR_9, IO_7SEGMENT_CHAR_A, IO_7SEGMENT_CHAR_B, IO_7SEGMENT_CHAR_C, IO_7SEGMENT_CHAR_D, IO_7SEGMENT_CHAR_E, IO_7SEGMENT_CHAR_F, IO_7SEGMENT_CHAR_H, IO_7SEGMENT_CHAR_O, IO_7SEGMENT_CHAR_U, IO_7SEGMENT_CHAR_MINUS ) |
|
T_IO_7SEGMENT_CHAR_ENCODING | ||
T_IO_MDIO_MDIOCONTROLLER_COMMAND | ( IO_MDIO_MDIOC_CMD_NONE, IO_MDIO_MDIOC_CMD_CHECK_ADDRESS, IO_MDIO_MDIOC_CMD_READ, IO_MDIO_MDIOC_CMD_WRITE, IO_MDIO_MDIOC_CMD_ABORT ) |
MDIOController ========================================================================================================================================================== |
T_IO_MDIO_MDIOCONTROLLER_STATUS | ( IO_MDIO_MDIOC_STATUS_IDLE, IO_MDIO_MDIOC_STATUS_CHECKING, IO_MDIO_MDIOC_STATUS_CHECK_OK, IO_MDIO_MDIOC_STATUS_CHECK_FAILED, IO_MDIO_MDIOC_STATUS_READING, IO_MDIO_MDIOC_STATUS_READ_COMPLETE, IO_MDIO_MDIOC_STATUS_WRITING, IO_MDIO_MDIOC_STATUS_WRITE_COMPLETE, IO_MDIO_MDIOC_STATUS_ERROR ) |
|
T_IO_MDIO_MDIOCONTROLLER_ERROR | ( IO_MDIO_MDIOC_ERROR_NONE, IO_MDIO_MDIOC_ERROR_ADDRESS_NOT_FOUND, IO_MDIO_MDIOC_ERROR_FSM ) |
|
T_IO_LCDBUS_COMMAND | ( IO_LCDBUS_CMD_NONE, IO_LCDBUS_CMD_READ, IO_LCDBUS_CMD_WRITE ) |
|
T_IO_LCDBUS_STATUS | ( IO_LCDBUS_STATUS_RESETTING, IO_LCDBUS_STATUS_IDLE, IO_LCDBUS_STATUS_READING, IO_LCDBUS_STATUS_READ_COMPLETE, IO_LCDBUS_STATUS_WRITING, IO_LCDBUS_STATUS_WRITE_COMPLETE, IO_LCDBUS_STATUS_ERROR ) |
Functions
- io_tristate_driver ( signal pad : inout std_logic_vector;
signal tristate : inout T_IO_TRISTATE_VECTOR ) return ()
Description
Drive a std_logic_vector from a Tri-State bus and in reverse.
Use this procedure only in simulation, see also
:ref:ISSUES:General:inout_records
.
- io_7SegmentDisplayEncoding (hex : std_logic_vector(3 downto 0);
dot : std_logic := '0';
WITH_DOT : boolean := FALSE) return std_logic_vector
Description
constant C_IO_7SEGMENT_CHAR_ENCODING : T_IO_7SEGMENT_CHAR_ENCODING := (
IO_7SEGMENT_CHAR_0
IO_7SEGMENT_CHAR_1
IO_7SEGMENT_CHAR_2
IO_7SEGMENT_CHAR_3
IO_7SEGMENT_CHAR_4
IO_7SEGMENT_CHAR_5
IO_7SEGMENT_CHAR_6
IO_7SEGMENT_CHAR_7
IO_7SEGMENT_CHAR_8
IO_7SEGMENT_CHAR_9
IO_7SEGMENT_CHAR_A
IO_7SEGMENT_CHAR_B
IO_7SEGMENT_CHAR_C
IO_7SEGMENT_CHAR_D
IO_7SEGMENT_CHAR_E
IO_7SEGMENT_CHAR_F
IO_7SEGMENT_CHAR_H
IO_7SEGMENT_CHAR_O
IO_7SEGMENT_CHAR_U
IO_7SEGMENT_CHAR_MINUS
);
- io_7SegmentDisplayEncoding (digit : T_BCD;
dot : std_logic := '0';
WITH_DOT : boolean := FALSE) return std_logic_vector