Package: memory_bfm_pkg
- File: memory_bfm_pkg.vhd
Constants
Name | Type | Value | Description |
---|---|---|---|
write_msg | msg_type_t | new_msg_type("write") | |
write_with_acknowledge_msg | msg_type_t | new_msg_type("write with acknowledge") | |
read_msg | msg_type_t | new_msg_type("read") | |
read_reply_msg | msg_type_t | new_msg_type("read reply") | |
actor | actor_t | new_actor("memory BFM") | |
memory_bfm_logger | logger_t | get_logger("memory BFM") |
Functions
- write ( signal net : inout network_t;
constant address : in unsigned(7 downto 0);
constant data : in std_logic_vector(7 downto 0)) return ()
- blocking_write ( signal net : inout network_t;
constant address : in unsigned(7 downto 0);
constant data : in std_logic_vector(7 downto 0)) return ()
- read ( signal net : inout network_t;
constant address : in unsigned(7 downto 0);
variable future : out msg_t) return ()
- get ( signal net : inout network_t;
variable future : inout msg_t;
variable data : out std_logic_vector(7 downto 0)) return ()
- read ( signal net : inout network_t;
constant address : in unsigned(7 downto 0);
variable data : out std_logic_vector(7 downto 0)) return ()