Variable WEB_CONFIGConst

WEB_CONFIG: "\n<!DOCTYPE html>\n<html>\n<head>\n <meta name=\"viewport\" content=\"width=device-width, initial-scale=1\">\n <link href=\"{{css_0}}\" rel=\"stylesheet\">\n <link href=\"{{css_1}}\" rel=\"stylesheet\">\n <script src=\"{{js_0}}\"></script>\n\n<style>\n\n\n /* Move down content because we have a fixed navbar that is 50px tall */\n body {\n padding-top: 20px;\n padding-left: 10px;\n padding-right: 10px;\n }\n\n .sidebar {\n position: fixed;\n top: 0px;\n bottom: 0;\n left: 0;\n z-index: 1000;\n display: block;\n padding: 20px;\n overflow-x: hidden;\n overflow-y: auto;\n /* Scrollable contents if viewport is shorter than content. */\n width: 270px;\n }\n\n .main {\n padding-left: 290px;\n }\n\n .main .page-header {\n margin-top: 0;\n }\n\n .bd-placeholder-img {\n font-size: 1.125rem;\n text-anchor: middle;\n -webkit-user-select: none;\n -moz-user-select: none;\n user-select: none;\n }\n\n @media (min-width: 768px) {\n .bd-placeholder-img-lg {\n font-size: 3.5rem;\n }\n }\n\n .b-example-divider {\n height: 3rem;\n background-color: rgba(0, 0, 0, .1);\n border: solid rgba(0, 0, 0, .15);\n border-width: 1px 0;\n box-shadow: inset 0 .5em 1.5em rgba(0, 0, 0, .1), inset 0 .125em .5em rgba(0, 0, 0, .15);\n }\n\n .b-example-vr {\n flex-shrink: 0;\n width: 1.5rem;\n height: 100vh;\n }\n\n .bi {\n vertical-align: -.125em;\n fill: currentColor;\n }\n\n .nav-scroller {\n position: relative;\n z-index: 2;\n height: 2.75rem;\n overflow-y: hidden;\n }\n\n .nav-scroller .nav {\n display: flex;\n flex-wrap: nowrap;\n padding-bottom: 1rem;\n margin-top: -1px;\n overflow-x: auto;\n text-align: center;\n white-space: nowrap;\n -webkit-overflow-scrolling: touch;\n }\n\n html,\n body {\n height: 100%;\n overflow-y: hidden;\n }\n\n .card {\n height: 100%;\n }\n\n</style>\n</head>\n<body>\n <div class=\"container-fluid h-100\">\n <div class=\"row h-100\">\n\n <div class=\"flex-shrink-0 p-3 bg-white overflow-auto sidebar\">\n <a href=\"/\" class=\"d-flex align-items-center pb-3 mb-3 link-dark text-decoration-none border-bottom\">\n \n<svg class=\"bi pe-none me-2\" width=\"40\" height=\"30\" viewBox=\"0 0 54.463092 43.762592\">\n <defs\n id=\"defs2\" />\n <sodipodi:namedview\n id=\"base\"\n pagecolor=\"#ffffff\"\n bordercolor=\"#000000\"\n borderopacity=\"0\"\n inkscape:pageopacity=\"0\"\n inkscape:pageshadow=\"2\"\n inkscape:zoom=\"1.4142136\"\n inkscape:cx=\"95.379217\"\n inkscape:cy=\"75.795012\"\n inkscape:document-units=\"mm\"\n inkscape:current-layer=\"layer1\"\n showgrid=\"false\"\n fit-margin-top=\"0\"\n fit-margin-left=\"0\"\n fit-margin-right=\"0\"\n fit-margin-bottom=\"0\"\n inkscape:window-width=\"1853\"\n inkscape:window-height=\"1019\"\n inkscape:window-x=\"67\"\n inkscape:window-y=\"33\"\n inkscape:window-maximized=\"1\"\n inkscape:pagecheckerboard=\"false\">\n <inkscape:grid\n type=\"xygrid\"\n id=\"grid225\"\n originx=\"144.3368\"\n originy=\"16.902247\" />\n </sodipodi:namedview>\n <metadata\n id=\"metadata5\">\n <rdf:RDF>\n <cc:Work\n rdf:about=\"\">\n <dc:format>image/svg+xml</dc:format>\n <dc:type\n rdf:resource=\"http://purl.org/dc/dcmitype/StillImage\" />\n <dc:title></dc:title>\n </cc:Work>\n </rdf:RDF>\n </metadata>\n <g\n inkscape:label=\"Capa 1\"\n inkscape:groupmode=\"layer\"\n id=\"layer1\"\n transform=\"translate(98.991305,-81.967747)\">\n <g\n id=\"g4024\"\n transform=\"translate(-189.94604,14.565045)\">\n <path\n style=\"fill:#cf1f1f;stroke-width:0.08466666\"\n d=\"m 109.16607,111.15252 c -2.76202,-0.17762 -5.25248,-0.82708 -7.57766,-1.97612 -5.245982,-2.5924 -9.018108,-7.42985 -10.235132,-13.125739 -0.395046,-1.848886 -0.39976,-2.004655 -0.398436,-13.165666 0.0015,-12.486355 -0.0148,-10.965476 0.114981,-10.741517 0.705588,1.217633 1.72246,2.142913 3.042571,2.768522 1.197997,0.567739 2.736724,0.921535 4.004681,0.920787 1.330863,-7.62e-4 1.206124,0.03949 2.164005,-0.698769 1.82744,-1.408446 2.22148,-1.694689 2.982,-2.166197 4.21457,-2.612945 10.70159,-4.519946 17.79866,-5.232301 4.42109,-0.443758 9.29491,-0.443758 13.716,0 3.59587,0.360929 7.28788,1.07816 10.4775,2.03542 0.38198,0.114638 0.0704,0.20242 -0.71967,0.20273 -5.52998,0.0022 -10.88534,4.253247 -13.4418,10.670087 -0.9712,2.437758 -1.60995,5.585167 -1.60609,7.913904 0.002,1.118977 -0.25376,5.033654 -0.34277,5.249333 -0.0384,0.09313 -0.0942,0.416984 -0.12381,0.719667 -0.0869,0.886517 -0.44396,2.412891 -0.84623,3.617292 -1.34535,4.027947 -4.00775,7.480757 -7.62113,9.883657 -2.31449,1.53915 -5.51581,2.70217 -8.255,2.99901 -0.97406,0.10555 -2.49715,0.16676 -3.13267,0.1259 z m 3.56356,-6.96115 c 1.54585,-0.35865 2.87771,-0.91409 4.09878,-1.70936 2.81848,-1.83565 4.69254,-4.581507 5.42956,-7.955349 0.22481,-1.029132 0.22481,-3.796868 0,-4.826 -1.0902,-4.990548 -4.71468,-8.615033 -9.70523,-9.705226 -1.02913,-0.224815 -3.79687,-0.224815 -4.826,0 -4.93013,1.076995 -8.537953,4.634462 -9.6632,9.528332 -0.216431,0.941293 -0.303503,3.14629 -0.16519,4.183272 0.730491,5.476784 4.971,9.787681 10.46339,10.637081 0.86867,0.13434 3.53193,0.0412 4.36789,-0.15275 z m -3.30956,-8.404459 c -1.45204,-0.238267 -2.63214,-1.444232 -2.86951,-2.932417 -0.36868,-2.311319 1.67295,-4.354024 3.99135,-3.993459 2.78398,0.432975 3.94879,3.820271 2.01633,5.863562 -0.82072,0.867793 -1.96435,1.254925 -3.13817,1.062314 z\"\n id=\"path4028\"\n inkscape:connector-curvature=\"0\" />\n <path\n style=\"fill:#4e4e4e;stroke-width:0.08466666\"\n d=\"m 109.16607,111.15252 c -2.76202,-0.17762 -5.25248,-0.82708 -7.57766,-1.97612 -5.245982,-2.5924 -9.018108,-7.42985 -10.235132,-13.125739 -0.395046,-1.848886 -0.39976,-2.004655 -0.398436,-13.165666 0.0015,-12.486355 -0.0148,-10.965476 0.114981,-10.741517 0.705588,1.217633 1.72246,2.142913 3.042571,2.768522 1.197997,0.567739 2.736724,0.921535 4.004681,0.920787 1.330863,-7.62e-4 1.206124,0.03949 2.164005,-0.698769 1.82744,-1.408446 2.22148,-1.694689 2.982,-2.166197 4.21457,-2.612945 10.70159,-4.519946 17.79866,-5.232301 4.42109,-0.443758 9.29491,-0.443758 13.716,0 3.59587,0.360929 7.28788,1.07816 10.4775,2.03542 0.38198,0.114638 0.0704,0.20242 -0.71967,0.20273 -5.52998,0.0022 -10.88534,4.253247 -13.4418,10.670087 -0.9712,2.437758 -1.60995,5.585167 -1.60609,7.913904 0.002,1.118977 -0.25376,5.033654 -0.34277,5.249333 -0.0384,0.09313 -0.0942,0.416984 -0.12381,0.719667 -0.0869,0.886517 -0.44396,2.412891 -0.84623,3.617292 -1.34535,4.027947 -4.00775,7.480757 -7.62113,9.883657 -2.31449,1.53915 -5.51581,2.70217 -8.255,2.99901 -0.97406,0.10555 -2.49715,0.16676 -3.13267,0.1259 z m 3.56356,-6.96115 c 1.54585,-0.35865 2.87771,-0.91409 4.09878,-1.70936 2.81848,-1.83565 4.69254,-4.581507 5.42956,-7.955349 0.22481,-1.029132 0.22481,-3.796868 0,-4.826 -1.0902,-4.990548 -4.71468,-8.615033 -9.70523,-9.705226 -1.02913,-0.224815 -3.79687,-0.224815 -4.826,0 -4.93013,1.076995 -8.537953,4.634462 -9.6632,9.528332 -0.216431,0.941293 -0.303503,3.14629 -0.16519,4.183272 0.730491,5.476784 4.971,9.787681 10.46339,10.637081 0.86867,0.13434 3.53193,0.0412 4.36789,-0.15275 z\"\n id=\"path4026\"\n inkscape:connector-curvature=\"0\" />\n </g>\n </g>\n</svg>\n\n <div class=\"fs-5 fw-semibold sidebar-heading\">Configuration</div>\n </a>\n <ul class=\"list-unstyled ps-0\">\n <li class=\"mb-1\">\n <button class=\"btn btn-toggle d-inline-flex align-items-center rounded border-0 collapsed\"\n data-bs-toggle=\"collapse\" data-bs-target=\"#General\" aria-expanded=\"false\">\n General\n </button>\n <div class=\"collapse\" id=\"General\">\n <ul class=\"btn-toggle-nav list-unstyled fw-normal pb-1 small\">\n <li><a id=\"btn-general-general\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">General</a></li>\n </ul>\n </div>\n </li>\n <li class=\"mb-1\">\n <button class=\"btn btn-toggle d-inline-flex align-items-center rounded border-0 collapsed\"\n data-bs-toggle=\"collapse\" data-bs-target=\"#Documentation\" aria-expanded=\"false\">\n Documentation\n </button>\n <div class=\"collapse\" id=\"Documentation\">\n <ul class=\"btn-toggle-nav list-unstyled fw-normal pb-1 small\">\n <li><a id=\"btn-documentation-general\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">General</a></li>\n </ul>\n </div>\n </li>\n <li class=\"mb-1\">\n <button class=\"btn btn-toggle d-inline-flex align-items-center rounded border-0 collapsed\"\n data-bs-toggle=\"collapse\" data-bs-target=\"#Editor\" aria-expanded=\"false\">\n Editor\n </button>\n <div class=\"collapse\" id=\"Editor\">\n <ul class=\"btn-toggle-nav list-unstyled fw-normal pb-1 small\">\n <li><a id=\"btn-editor-general\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">General</a></li>\n </ul>\n </div>\n </li>\n <li class=\"mb-1\">\n <button class=\"btn btn-toggle d-inline-flex align-items-center rounded border-0 collapsed\"\n data-bs-toggle=\"collapse\" data-bs-target=\"#Formatter\" aria-expanded=\"false\">\n Formatter\n </button>\n <div class=\"collapse\" id=\"Formatter\">\n <ul class=\"btn-toggle-nav list-unstyled fw-normal pb-1 small\">\n <li><a id=\"btn-formatter-general\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">General</a></li>\n <li><a id=\"btn-formatter-istyle\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">iStyle</a></li>\n <li><a id=\"btn-formatter-s3sv\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">s3sv</a></li>\n <li><a id=\"btn-formatter-verible\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">Verible</a></li>\n <li><a id=\"btn-formatter-standalone\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">VHDL standalone</a></li>\n <li><a id=\"btn-formatter-svg\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">VHDL VSG</a></li>\n </ul>\n </div>\n </li>\n <li class=\"mb-1\">\n <button class=\"btn btn-toggle d-inline-flex align-items-center rounded border-0 collapsed\"\n data-bs-toggle=\"collapse\" data-bs-target=\"#Linter-settings\" aria-expanded=\"false\">\n Linter settings\n </button>\n <div class=\"collapse\" id=\"Linter-settings\">\n <ul class=\"btn-toggle-nav list-unstyled fw-normal pb-1 small\">\n <li><a id=\"btn-linter-general\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">General</a></li>\n <li><a id=\"btn-linter-ghdl\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">GHDL linter</a></li>\n <li><a id=\"btn-linter-icarus\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">Icarus linter</a></li>\n <li><a id=\"btn-linter-modelsim\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">ModelSim linter</a></li>\n <li><a id=\"btn-linter-verible\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">Verible linter</a></li>\n <li><a id=\"btn-linter-verilator\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">Verilator linter</a></li>\n <li><a id=\"btn-linter-vivado\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">Vivado linter</a></li>\n <li><a id=\"btn-linter-vsg\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">VSG linter</a></li>\n </ul>\n </div>\n </li>\n <li class=\"mb-1\">\n <button class=\"btn btn-toggle d-inline-flex align-items-center rounded border-0 collapsed\"\n data-bs-toggle=\"collapse\" data-bs-target=\"#Schematic-viewer\" aria-expanded=\"false\">\n Schematic viewer\n </button>\n <div class=\"collapse\" id=\"Schematic-viewer\">\n <ul class=\"btn-toggle-nav list-unstyled fw-normal pb-1 small\">\n <li><a id=\"btn-schematic-general\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">General</a></li>\n </ul>\n </div>\n </li>\n <li class=\"mb-1\">\n <button class=\"btn btn-toggle d-inline-flex align-items-center rounded border-0 collapsed\"\n data-bs-toggle=\"collapse\" data-bs-target=\"#Templates\" aria-expanded=\"false\">\n Templates\n </button>\n <div class=\"collapse\" id=\"Templates\">\n <ul class=\"btn-toggle-nav list-unstyled fw-normal pb-1 small\">\n <li><a id=\"btn-templates-general\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">General</a></li>\n </ul>\n </div>\n </li>\n <li class=\"mb-1\">\n <button class=\"btn btn-toggle d-inline-flex align-items-center rounded border-0 collapsed\"\n data-bs-toggle=\"collapse\" data-bs-target=\"#Tools\" aria-expanded=\"false\">\n Tools\n </button>\n <div class=\"collapse\" id=\"Tools\">\n <ul class=\"btn-toggle-nav list-unstyled fw-normal pb-1 small\">\n <li><a id=\"btn-tools-general\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">General</a></li>\n <li><a id=\"btn-tools-osvvm\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">OSVVM</a></li>\n <li><a id=\"btn-tools-ascenlint\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">Ascenlint</a></li>\n <li><a id=\"btn-tools-cocotb\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">Cocotb</a></li>\n <li><a id=\"btn-tools-diamond\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">Diamond</a></li>\n <li><a id=\"btn-tools-ghdl\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">GHDL</a></li>\n <li><a id=\"btn-tools-icarus\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">Icarus</a></li>\n <li><a id=\"btn-tools-icestorm\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">Icestorm</a></li>\n <li><a id=\"btn-tools-ise\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">ISE</a></li>\n <li><a id=\"btn-tools-isem\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">ISIM</a></li>\n <li><a id=\"btn-tools-modelsim\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">ModelSim</a></li>\n <li><a id=\"btn-tools-morty\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">Morty</a></li>\n <li><a id=\"btn-tools-quartus\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">Quartus</a></li>\n <li><a id=\"btn-tools-radiant\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">Radiant</a></li>\n <li><a id=\"btn-tools-rivierapro\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">Rivierapro</a></li>\n <li><a id=\"btn-tools-siliconcompiler\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">SiliconCompiler</a></li>\n <li><a id=\"btn-tools-spyglass\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">Spyglass</a></li>\n <li><a id=\"btn-tools-symbiyosys\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">SymbiYosys</a></li>\n <li><a id=\"btn-tools-symbiflow\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">Symbiflow</a></li>\n <li><a id=\"btn-tools-trellis\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">Trellis</a></li>\n <li><a id=\"btn-tools-vcs\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">VCS</a></li>\n <li><a id=\"btn-tools-veriblelint\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">VeribleLint</a></li>\n <li><a id=\"btn-tools-verilator\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">Verilator</a></li>\n <li><a id=\"btn-tools-vivado\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">Vivado</a></li>\n <li><a id=\"btn-tools-vunit\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">VUnit</a></li>\n <li><a id=\"btn-tools-xcelium\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">Xcelium</a></li>\n <li><a id=\"btn-tools-xsim\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">XSIM</a></li>\n <li><a id=\"btn-tools-yosys\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">Yosys</a></li>\n <li><a id=\"btn-tools-openfpga\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">OpenFPGA</a></li>\n <li><a id=\"btn-tools-activehdl\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">Active-HDL</a></li>\n <li><a id=\"btn-tools-nvc\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">NVC</a></li>\n <li><a id=\"btn-tools-questa\" href=\"#\" class=\"link-dark d-inline-flex text-decoration-none rounded\">Questa Advanced Simulator</a></li>\n </ul>\n </div>\n </li>\n </ul>\n </div>\n\n<div class=\"col-sm-12 main h-100\">\n <div class=\"card h-100\" id=\"general-general\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">General: General</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\"></h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <div class=\"form-check\">\n <input class=\"form-check-input\" type=\"checkbox\" value=\"\" id=\"general-general-logging\">\n <label class=\"form-check-label\" for=\"general-general-logging\">\n Enable show TerosHDL console with each message.\n </label>\n </div>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"general-general-pypath\" class=\"form-label\">Python3 binary path (e.g.: /usr/bin/python3). Empty if you want to use the system path. <strong>Install teroshdl. E.g: pip3 install teroshdl</strong></label>\n <input class=\"form-control\" id=\"general-general-pypath\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <div class=\"form-check\">\n <input class=\"form-check-input\" type=\"checkbox\" value=\"\" id=\"general-general-go_to_definition_vhdl\">\n <label class=\"form-check-label\" for=\"general-general-go_to_definition_vhdl\">\n Activate go to definition feature for VHDL (you need to restart VSCode).\n </label>\n </div>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <div class=\"form-check\">\n <input class=\"form-check-input\" type=\"checkbox\" value=\"\" id=\"general-general-go_to_definition_verilog\">\n <label class=\"form-check-label\" for=\"general-general-go_to_definition_verilog\">\n Activate go to definition feature for Verilog/SV (you need to restart VSCode).\n </label>\n </div>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <div class=\"form-check\">\n <input class=\"form-check-input\" type=\"checkbox\" value=\"\" id=\"general-general-developer_mode\">\n <label class=\"form-check-label\" for=\"general-general-developer_mode\">\n Developer mode: be careful!!\n </label>\n </div>\n </div>\n \n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"documentation-general\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Documentation: General</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\"></h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"documentation-general-language\" class=\"form-label\">Documentation language:</label>\n <select class=\"form-select\" aria-label=\"Documentation language:\" id=\"documentation-general-language\">\n <option value='english'>English</option>\n <option value='russian'>Russian</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"documentation-general-symbol_vhdl\" class=\"form-label\">Special VHDL symbol at the begin of the comment to extract documentation. Example: <code>--! Code comment</code></label>\n <input class=\"form-control\" id=\"documentation-general-symbol_vhdl\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"documentation-general-symbol_verilog\" class=\"form-label\">Special Verilog symbol at the begin of the comment to extract documentation. Example: <code>//! Code comment</code></label>\n <input class=\"form-control\" id=\"documentation-general-symbol_verilog\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <div class=\"form-check\">\n <input class=\"form-check-input\" type=\"checkbox\" value=\"\" id=\"documentation-general-dependency_graph\">\n <label class=\"form-check-label\" for=\"documentation-general-dependency_graph\">\n Include dependency graph:\n </label>\n </div>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <div class=\"form-check\">\n <input class=\"form-check-input\" type=\"checkbox\" value=\"\" id=\"documentation-general-self_contained\">\n <label class=\"form-check-label\" for=\"documentation-general-self_contained\">\n HTML documentation self contained:\n </label>\n </div>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <div class=\"form-check\">\n <input class=\"form-check-input\" type=\"checkbox\" value=\"\" id=\"documentation-general-fsm\">\n <label class=\"form-check-label\" for=\"documentation-general-fsm\">\n Include FSM:\n </label>\n </div>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"documentation-general-ports\" class=\"form-label\">Include ports:</label>\n <select class=\"form-select\" aria-label=\"Include ports:\" id=\"documentation-general-ports\">\n <option value='all'>All</option>\n <option value='only_commented'>Only commented</option>\n <option value='none'>None</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"documentation-general-generics\" class=\"form-label\">Include generics:</label>\n <select class=\"form-select\" aria-label=\"Include generics:\" id=\"documentation-general-generics\">\n <option value='all'>All</option>\n <option value='only_commented'>Only commented</option>\n <option value='none'>None</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"documentation-general-instantiations\" class=\"form-label\">Include instantiations:</label>\n <select class=\"form-select\" aria-label=\"Include instantiations:\" id=\"documentation-general-instantiations\">\n <option value='all'>All</option>\n <option value='only_commented'>Only commented</option>\n <option value='none'>None</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"documentation-general-signals\" class=\"form-label\">Include signals:</label>\n <select class=\"form-select\" aria-label=\"Include signals:\" id=\"documentation-general-signals\">\n <option value='all'>All</option>\n <option value='only_commented'>Only commented</option>\n <option value='none'>None</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"documentation-general-constants\" class=\"form-label\">Include consants:</label>\n <select class=\"form-select\" aria-label=\"Include consants:\" id=\"documentation-general-constants\">\n <option value='all'>All</option>\n <option value='only_commented'>Only commented</option>\n <option value='none'>None</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"documentation-general-types\" class=\"form-label\">Include types:</label>\n <select class=\"form-select\" aria-label=\"Include types:\" id=\"documentation-general-types\">\n <option value='all'>All</option>\n <option value='only_commented'>Only commented</option>\n <option value='none'>None</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"documentation-general-process\" class=\"form-label\">Include always/processes:</label>\n <select class=\"form-select\" aria-label=\"Include always/processes:\" id=\"documentation-general-process\">\n <option value='all'>All</option>\n <option value='only_commented'>Only commented</option>\n <option value='none'>None</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"documentation-general-functions\" class=\"form-label\">Include functions:</label>\n <select class=\"form-select\" aria-label=\"Include functions:\" id=\"documentation-general-functions\">\n <option value='all'>All</option>\n <option value='only_commented'>Only commented</option>\n <option value='none'>None</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"documentation-general-magic_config_path\" class=\"form-label\">Magic config file path</label>\n <input class=\"form-control\" id=\"documentation-general-magic_config_path\" rows=\"3\"></input>\n </div>\n \n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"editor-general\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Editor: General</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\"></h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <div class=\"form-check\">\n <input class=\"form-check-input\" type=\"checkbox\" value=\"\" id=\"editor-general-stutter_comment_shortcuts\">\n <label class=\"form-check-label\" for=\"editor-general-stutter_comment_shortcuts\">\n Stutter mode: an enter keypress at the end of a line that contains a non-empty comment will continue the comment on the next line. This can be cancelled by pressing enter again. You must also set <code>\"editor.formatOnType\": true\"</code>\n </label>\n </div>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"editor-general-stutter_block_width\" class=\"form-label\">Width of block comment elements inserted by stutter completions</label>\n <input type='number' class=\"form-control\" id=\"editor-general-stutter_block_width\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"editor-general-stutter_max_width\" class=\"form-label\">Max width of block comment elements inserted by stutter completions. Set to zero to disable.</label>\n <input type='number' class=\"form-control\" id=\"editor-general-stutter_max_width\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <div class=\"form-check\">\n <input class=\"form-check-input\" type=\"checkbox\" value=\"\" id=\"editor-general-stutter_delimiters\">\n <label class=\"form-check-label\" for=\"editor-general-stutter_delimiters\">\n Stutter mode: enable Delimiter Shortcuts\n </label>\n </div>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <div class=\"form-check\">\n <input class=\"form-check-input\" type=\"checkbox\" value=\"\" id=\"editor-general-stutter_bracket_shortcuts\">\n <label class=\"form-check-label\" for=\"editor-general-stutter_bracket_shortcuts\">\n Stutter mode: enable Bracket Shortcuts\n </label>\n </div>\n </div>\n \n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"formatter-general\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Formatter: General</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\"></h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"formatter-general-formatter_verilog\" class=\"form-label\">Verilog/SV formatter:</label>\n <select class=\"form-select\" aria-label=\"Verilog/SV formatter:\" id=\"formatter-general-formatter_verilog\">\n <option value='istyle'>iStyle</option>\n <option value='s3sv'>s3sv</option>\n <option value='verible'>Verible</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"formatter-general-formatter_vhdl\" class=\"form-label\">VHDL formatter:</label>\n <select class=\"form-select\" aria-label=\"VHDL formatter:\" id=\"formatter-general-formatter_vhdl\">\n <option value='standalone'>Standalone</option>\n <option value='vsg'>VSG</option>\n </select>\n </div>\n \n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"formatter-istyle\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Formatter: iStyle</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\">Verilog/SV iStyle formatter</h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"formatter-istyle-style\" class=\"form-label\">Predefined Styling options.</label>\n <select class=\"form-select\" aria-label=\"Predefined Styling options.\" id=\"formatter-istyle-style\">\n <option value='ansi'>ANSI</option>\n <option value='kr'>Kernighan&Ritchie</option>\n <option value='gnu'>GNU</option>\n <option value='indent_only'>Indent only</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"formatter-istyle-indentation_size\" class=\"form-label\">Indentation size in number of characters.</label>\n <input type='number' class=\"form-control\" id=\"formatter-istyle-indentation_size\" rows=\"3\"></input>\n </div>\n \n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"formatter-s3sv\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Formatter: s3sv</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\">Verilog/SV S3SV formatter</h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <div class=\"form-check\">\n <input class=\"form-check-input\" type=\"checkbox\" value=\"\" id=\"formatter-s3sv-one_bind_per_line\">\n <label class=\"form-check-label\" for=\"formatter-s3sv-one_bind_per_line\">\n Force one binding per line in instanciations statements.\n </label>\n </div>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <div class=\"form-check\">\n <input class=\"form-check-input\" type=\"checkbox\" value=\"\" id=\"formatter-s3sv-one_declaration_per_line\">\n <label class=\"form-check-label\" for=\"formatter-s3sv-one_declaration_per_line\">\n Force one declaration per line.\n </label>\n </div>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <div class=\"form-check\">\n <input class=\"form-check-input\" type=\"checkbox\" value=\"\" id=\"formatter-s3sv-use_tabs\">\n <label class=\"form-check-label\" for=\"formatter-s3sv-use_tabs\">\n Use tabs instead of spaces for indentation.\n </label>\n </div>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"formatter-s3sv-indentation_size\" class=\"form-label\">Indentation size in number of characters.</label>\n <input type='number' class=\"form-control\" id=\"formatter-s3sv-indentation_size\" rows=\"3\"></input>\n </div>\n \n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"formatter-verible\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Formatter: Verible</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\">Verilog/SV Verible formatter</h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"formatter-verible-path\" class=\"form-label\">Verible formatter binary path. E.g: /home/user/Downloads/verible-v0.0-1296/bin/verible-verilog-format</label>\n <input class=\"form-control\" id=\"formatter-verible-path\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"formatter-verible-format_args\" class=\"form-label\">Extra command line arguments passed to the Verible tool</label>\n <input class=\"form-control\" id=\"formatter-verible-format_args\" rows=\"3\"></input>\n </div>\n \n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"formatter-standalone\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Formatter: VHDL standalone</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\">VHDL standalone formatter</h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"formatter-standalone-keyword_case\" class=\"form-label\">Keyword case. e.g. begin, case, when </label>\n <select class=\"form-select\" aria-label=\"Keyword case. e.g. begin, case, when \" id=\"formatter-standalone-keyword_case\">\n <option value='lowercase'>LowerCase</option>\n <option value='uppercase'>UpperCase</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"formatter-standalone-name_case\" class=\"form-label\">Type name case. e.g. boolean, natural, string </label>\n <select class=\"form-select\" aria-label=\"Type name case. e.g. boolean, natural, string \" id=\"formatter-standalone-name_case\">\n <option value='lowercase'>LowerCase</option>\n <option value='uppercase'>UpperCase</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"formatter-standalone-indentation\" class=\"form-label\">Indentation.</label>\n <input class=\"form-control\" id=\"formatter-standalone-indentation\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <div class=\"form-check\">\n <input class=\"form-check-input\" type=\"checkbox\" value=\"\" id=\"formatter-standalone-align_port_generic\">\n <label class=\"form-check-label\" for=\"formatter-standalone-align_port_generic\">\n Align signs in ports and generics.\n </label>\n </div>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <div class=\"form-check\">\n <input class=\"form-check-input\" type=\"checkbox\" value=\"\" id=\"formatter-standalone-align_comment\">\n <label class=\"form-check-label\" for=\"formatter-standalone-align_comment\">\n Align comments.\n </label>\n </div>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <div class=\"form-check\">\n <input class=\"form-check-input\" type=\"checkbox\" value=\"\" id=\"formatter-standalone-remove_comments\">\n <label class=\"form-check-label\" for=\"formatter-standalone-remove_comments\">\n Remove comments.\n </label>\n </div>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <div class=\"form-check\">\n <input class=\"form-check-input\" type=\"checkbox\" value=\"\" id=\"formatter-standalone-remove_reports\">\n <label class=\"form-check-label\" for=\"formatter-standalone-remove_reports\">\n Remove reports.\n </label>\n </div>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <div class=\"form-check\">\n <input class=\"form-check-input\" type=\"checkbox\" value=\"\" id=\"formatter-standalone-check_alias\">\n <label class=\"form-check-label\" for=\"formatter-standalone-check_alias\">\n All long names will be replaced by ALIAS names.\n </label>\n </div>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"formatter-standalone-new_line_after_then\" class=\"form-label\">New line after THEN.</label>\n <select class=\"form-select\" aria-label=\"New line after THEN.\" id=\"formatter-standalone-new_line_after_then\">\n <option value='new_line'>New line</option>\n <option value='no_new_line'>No new line</option>\n <option value='none'>None</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"formatter-standalone-new_line_after_semicolon\" class=\"form-label\">New line after semicolon ';'.</label>\n <select class=\"form-select\" aria-label=\"New line after semicolon ';'.\" id=\"formatter-standalone-new_line_after_semicolon\">\n <option value='new_line'>New line</option>\n <option value='no_new_line'>No new line</option>\n <option value='none'>None</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"formatter-standalone-new_line_after_else\" class=\"form-label\">New line after ELSE.</label>\n <select class=\"form-select\" aria-label=\"New line after ELSE.\" id=\"formatter-standalone-new_line_after_else\">\n <option value='new_line'>New line</option>\n <option value='no_new_line'>No new line</option>\n <option value='none'>None</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"formatter-standalone-new_line_after_port\" class=\"form-label\">New line after PORT | PORT MAP.</label>\n <select class=\"form-select\" aria-label=\"New line after PORT | PORT MAP.\" id=\"formatter-standalone-new_line_after_port\">\n <option value='new_line'>New line</option>\n <option value='no_new_line'>No new line</option>\n <option value='none'>None</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"formatter-standalone-new_line_after_generic\" class=\"form-label\">New line after GENERIC.</label>\n <select class=\"form-select\" aria-label=\"New line after GENERIC.\" id=\"formatter-standalone-new_line_after_generic\">\n <option value='new_line'>New line</option>\n <option value='no_new_line'>No new line</option>\n <option value='none'>None</option>\n </select>\n </div>\n \n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"formatter-svg\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Formatter: VHDL VSG</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\">VHDL Style Guide. Analyzes VHDL files for style guide violations.</h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"formatter-svg-configuration\" class=\"form-label\">JSON or YAML configuration file.</label>\n <input class=\"form-control\" id=\"formatter-svg-configuration\" rows=\"3\"></input>\n </div>\n \n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"linter-general\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Linter settings: General</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\"></h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"linter-general-linter_vhdl\" class=\"form-label\">VHDL linter: disable VHDL-LS needs restart VSCode.</label>\n <select class=\"form-select\" aria-label=\"VHDL linter: disable VHDL-LS needs restart VSCode.\" id=\"linter-general-linter_vhdl\">\n <option value='disabled'>Disabled</option>\n <option value='ghdl'>GHDL</option>\n <option value='modelsim'>Modelsim</option>\n <option value='vivado'>Vivado (xvhdl)</option>\n <option value='none'>VHDL-LS</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"linter-general-linter_verilog\" class=\"form-label\">Verilog/SV linter:</label>\n <select class=\"form-select\" aria-label=\"Verilog/SV linter:\" id=\"linter-general-linter_verilog\">\n <option value='disabled'>Disabled</option>\n <option value='icarus'>Icarus</option>\n <option value='modelsim'>Modelsim</option>\n <option value='verilator'>Verilator</option>\n <option value='vivado'>Vivado (xvlog)</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"linter-general-lstyle_verilog\" class=\"form-label\">Verilog/SV style checker:</label>\n <select class=\"form-select\" aria-label=\"Verilog/SV style checker:\" id=\"linter-general-lstyle_verilog\">\n <option value='verible'>Verible</option>\n <option value='disabled'>Disabled</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"linter-general-lstyle_vhdl\" class=\"form-label\">VHDL style checker:</label>\n <select class=\"form-select\" aria-label=\"VHDL style checker:\" id=\"linter-general-lstyle_vhdl\">\n <option value='vsg'>VSG</option>\n <option value='disabled'>Disabled</option>\n </select>\n </div>\n \n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"linter-ghdl\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Linter settings: GHDL linter</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\"></h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"linter-ghdl-arguments\" class=\"form-label\">Arguments.</label>\n <input class=\"form-control\" id=\"linter-ghdl-arguments\" rows=\"3\"></input>\n </div>\n \n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"linter-icarus\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Linter settings: Icarus linter</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\"></h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"linter-icarus-arguments\" class=\"form-label\">Arguments.</label>\n <input class=\"form-control\" id=\"linter-icarus-arguments\" rows=\"3\"></input>\n </div>\n \n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"linter-modelsim\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Linter settings: ModelSim linter</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\"></h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"linter-modelsim-vhdl_arguments\" class=\"form-label\">VHDL linter arguments.</label>\n <input class=\"form-control\" id=\"linter-modelsim-vhdl_arguments\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"linter-modelsim-verilog_arguments\" class=\"form-label\">Verilog linter arguments.</label>\n <input class=\"form-control\" id=\"linter-modelsim-verilog_arguments\" rows=\"3\"></input>\n </div>\n \n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"linter-verible\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Linter settings: Verible linter</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\"></h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"linter-verible-arguments\" class=\"form-label\">Arguments.</label>\n <input class=\"form-control\" id=\"linter-verible-arguments\" rows=\"3\"></input>\n </div>\n \n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"linter-verilator\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Linter settings: Verilator linter</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\"></h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"linter-verilator-arguments\" class=\"form-label\">Arguments.</label>\n <input class=\"form-control\" id=\"linter-verilator-arguments\" rows=\"3\"></input>\n </div>\n \n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"linter-vivado\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Linter settings: Vivado linter</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\"></h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"linter-vivado-vhdl_arguments\" class=\"form-label\">VHDL linter arguments.</label>\n <input class=\"form-control\" id=\"linter-vivado-vhdl_arguments\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"linter-vivado-verilog_arguments\" class=\"form-label\">Verilog linter arguments.</label>\n <input class=\"form-control\" id=\"linter-vivado-verilog_arguments\" rows=\"3\"></input>\n </div>\n \n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"linter-vsg\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Linter settings: VSG linter</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\"></h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"linter-vsg-arguments\" class=\"form-label\">Arguments.</label>\n <input class=\"form-control\" id=\"linter-vsg-arguments\" rows=\"3\"></input>\n </div>\n \n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"schematic-general\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Schematic viewer: General</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\"></h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"schematic-general-backend\" class=\"form-label\">Select the backend:</label>\n <select class=\"form-select\" aria-label=\"Select the backend:\" id=\"schematic-general-backend\">\n <option value='yowasp'>YoWASP</option>\n <option value='yosys'>Yosys</option>\n <option value='yosys_ghdl'>GHDL + Yosys</option>\n <option value='yosys_ghdl_module'>GHDL (module) + Yosys</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"schematic-general-args\" class=\"form-label\">Arguments passed to Yosys</label>\n <input class=\"form-control\" id=\"schematic-general-args\" rows=\"3\"></input>\n </div>\n \n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"templates-general\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Templates: General</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\"></h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"templates-general-header_file_path\" class=\"form-label\">File path with your configurable header. E.g. your company license. It will be inserted at the beginning of the template</label>\n <input class=\"form-control\" id=\"templates-general-header_file_path\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"templates-general-indent\" class=\"form-label\">Indent</label>\n <input class=\"form-control\" id=\"templates-general-indent\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"templates-general-clock_generation_style\" class=\"form-label\">Clock generation style:</label>\n <select class=\"form-select\" aria-label=\"Clock generation style:\" id=\"templates-general-clock_generation_style\">\n <option value='inline'>Inline</option>\n <option value='ifelse'>if/else</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"templates-general-instance_style\" class=\"form-label\">Instantiation style:</label>\n <select class=\"form-select\" aria-label=\"Instantiation style:\" id=\"templates-general-instance_style\">\n <option value='inline'>Inline</option>\n <option value='separate'>Separate</option>\n </select>\n </div>\n \n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"tools-general\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Tools: General</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\"></h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-general-select_tool\" class=\"form-label\">Select a tool, framework, simulator...</label>\n <select class=\"form-select\" aria-label=\"Select a tool, framework, simulator...\" id=\"tools-general-select_tool\">\n <option value='osvvm'>OSVVM</option>\n <option value='vunit'>VUnit</option>\n <option value='ghdl'>GHDL</option>\n <option value='cocotb'>cocotb</option>\n <option value='icarus'>Icarus</option>\n <option value='icestorm'>Icestorm</option>\n <option value='ise'>ISE</option>\n <option value='isim'>ISIM</option>\n <option value='modelsim'>ModelSim</option>\n <option value='openfpga'>OpenFPGA</option>\n <option value='quartus'>Quartus</option>\n <option value='rivierapro'>Riviera-PRO</option>\n <option value='spyglass'>SpyGlass</option>\n <option value='trellis'>Trellis</option>\n <option value='vcs'>VCS</option>\n <option value='verilator'>Verilator</option>\n <option value='vivado'>Vivado</option>\n <option value='xcelium'>Xcelium</option>\n <option value='xsim'>XSIM</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-general-execution_mode\" class=\"form-label\">Select the execution mode.</label>\n <select class=\"form-select\" aria-label=\"Select the execution mode.\" id=\"tools-general-execution_mode\">\n <option value='gui'>GUI</option>\n <option value='cmd'>Command line</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-general-waveform_viewer\" class=\"form-label\">Select the waveform viewer. For GTKWave you need to install it.</label>\n <select class=\"form-select\" aria-label=\"Select the waveform viewer. For GTKWave you need to install it.\" id=\"tools-general-waveform_viewer\">\n <option value='tool'>Tool GUI</option>\n <option value='vcdrom'>VCDrom</option>\n <option value='gtkwave'>GTKWave</option>\n </select>\n </div>\n \n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"tools-osvvm\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Tools: OSVVM</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\">OSVVM is an advanced verification methodology that defines a VHDL verification framework, verification utility library, verification component library, and a scripting flow that simplifies your FPGA or ASIC verification project from start to finish. Using these libraries you can create a simple, readable, and powerful testbench that is suitable for either a simple FPGA block or a complex ASIC.</h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-osvvm-installation_path\" class=\"form-label\">Installation path:</label>\n <input class=\"form-control\" id=\"tools-osvvm-installation_path\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-osvvm-tclsh_binary\" class=\"form-label\">tclsh binary path. E.g: /usr/bin/tclsh8.6</label>\n <input class=\"form-control\" id=\"tools-osvvm-tclsh_binary\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-osvvm-simulator_name\" class=\"form-label\">Selects which simulator to use.</label>\n <select class=\"form-select\" aria-label=\"Selects which simulator to use.\" id=\"tools-osvvm-simulator_name\">\n <option value='activehdl'>Aldec Active-HDL</option>\n <option value='ghdl'>GHDL</option>\n <option value='nvc'>NVC</option>\n <option value='rivierapro'>Aldec Riviera-PRO</option>\n <option value='questa'>Mentor/Siemens EDA Questa</option>\n <option value='modelsim'>Mentor/Siemens EDA ModelSim</option>\n <option value='vcs'>VCS</option>\n <option value='xsim'>XSIM</option>\n <option value='xcelium'>Xcelium</option>\n </select>\n </div>\n \n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"tools-ascenlint\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Tools: Ascenlint</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\">Ascent Lint performs static source code analysis on HDL code and checks for common coding errors or coding style violations.</h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-ascenlint-installation_path\" class=\"form-label\">Installation path:</label>\n <input class=\"form-control\" id=\"tools-ascenlint-installation_path\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-ascenlint-ascentlint_options\" class=\"form-label\">Additional run options for ascentlint.</label>\n <input class=\"form-control\" id=\"tools-ascenlint-ascentlint_options\" rows=\"3\"></input>\n </div>\n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"tools-cocotb\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Tools: Cocotb</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\"></h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-cocotb-installation_path\" class=\"form-label\">Installation path:</label>\n <input class=\"form-control\" id=\"tools-cocotb-installation_path\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-cocotb-simulator_name\" class=\"form-label\">Selects which simulator Makefile to use. Attempts to include a simulator specific makefile from cocotb/share/makefiles/simulators/makefile.$(SIM)</label>\n <select class=\"form-select\" aria-label=\"Selects which simulator Makefile to use. Attempts to include a simulator specific makefile from cocotb/share/makefiles/simulators/makefile.$(SIM)\" id=\"tools-cocotb-simulator_name\">\n <option value='icarus'>icarus</option>\n <option value='verilator'>Verilator</option>\n <option value='vcs'>Synopsys VCS</option>\n <option value='riviera'>Aldec Riviera-PRO</option>\n <option value='activehdl'>Aldec Active-HDL</option>\n <option value='questa'>Mentor/Siemens EDA Questa</option>\n <option value='modelsim'>Mentor/Siemens EDA ModelSim</option>\n <option value='ius'>Cadence Incisive</option>\n <option value='xcelium'>Cadence Xcelium</option>\n <option value='ghdl'>GHDL</option>\n <option value='cvc'>Tachyon DA CVC</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-cocotb-compile_args\" class=\"form-label\">Any arguments or flags to pass to the compile stage of the simulation.</label>\n <input class=\"form-control\" id=\"tools-cocotb-compile_args\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-cocotb-run_args\" class=\"form-label\">Any argument to be passed to the “first” invocation of a simulator that runs via a TCL script. One motivating usage is to pass -noautoldlibpath to Questa to prevent it from loading the out-of-date libraries it ships with. Used by Aldec Riviera-PRO and Mentor Graphics Questa simulator.</label>\n <input class=\"form-control\" id=\"tools-cocotb-run_args\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-cocotb-plusargs\" class=\"form-label\">They are options that are starting with a plus (+) sign. They are passed to the simulator and are also available within cocotb as cocotb.plusargs. In the simulator, they can be read by the Verilog/SystemVerilog system functions $test$plusargs and $value$plusargs. The special plusargs +ntb_random_seed and +seed, if present, are evaluated to set the random seed value if RANDOM_SEED is not set. If both +ntb_random_seed and +seed are set, +ntb_random_seed is used.</label>\n <input class=\"form-control\" id=\"tools-cocotb-plusargs\" rows=\"3\"></input>\n </div>\n \n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"tools-diamond\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Tools: Diamond</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\">Backend for Lattice Diamond.</h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-diamond-installation_path\" class=\"form-label\">Installation path:</label>\n <input class=\"form-control\" id=\"tools-diamond-installation_path\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-diamond-part\" class=\"form-label\">FPGA part number (e.g. LFE5U-45F-6BG381C).</label>\n <input class=\"form-control\" id=\"tools-diamond-part\" rows=\"3\"></input>\n </div>\n \n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"tools-ghdl\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Tools: GHDL</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\">GHDL is an open source VHDL simulator, which fully supports IEEE 1076-1987, IEEE 1076-1993, IEE 1076-2002 and partially the 1076-2008 version of VHDL.</h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-ghdl-installation_path\" class=\"form-label\">Installation path:</label>\n <input class=\"form-control\" id=\"tools-ghdl-installation_path\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-ghdl-waveform\" class=\"form-label\">Waveform output format:</label>\n <select class=\"form-select\" aria-label=\"Waveform output format:\" id=\"tools-ghdl-waveform\">\n <option value='vcd'>VCD</option>\n <option value='ghw'>GHW</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-ghdl-analyze_options\" class=\"form-label\">analyze options. Extra options used for the GHDL analyze stage (ghdl -a).</label>\n <input class=\"form-control\" id=\"tools-ghdl-analyze_options\" rows=\"3\"></input>\n </div>\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-ghdl-run_options\" class=\"form-label\">Run options. Extra options used when running GHDL simulations (ghdl -r).</label>\n <input class=\"form-control\" id=\"tools-ghdl-run_options\" rows=\"3\"></input>\n </div>\n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"tools-icarus\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Tools: Icarus</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\">Icarus Verilog is a Verilog simulation and synthesis tool. It operates as a compiler, compiling source code written in Verilog (IEEE-1364) into some target format.</h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-icarus-installation_path\" class=\"form-label\">Installation path:</label>\n <input class=\"form-control\" id=\"tools-icarus-installation_path\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-icarus-timescale\" class=\"form-label\">Default timescale.</label>\n <input class=\"form-control\" id=\"tools-icarus-timescale\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-icarus-iverilog_options\" class=\"form-label\">Additional options for iverilog.</label>\n <input class=\"form-control\" id=\"tools-icarus-iverilog_options\" rows=\"3\"></input>\n </div>\n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"tools-icestorm\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Tools: Icestorm</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\">Open source toolchain for Lattice iCE40 FPGAs. Uses yosys for synthesis and arachne-pnr or nextpnr for Place & Route.</h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-icestorm-installation_path\" class=\"form-label\">Installation path:</label>\n <input class=\"form-control\" id=\"tools-icestorm-installation_path\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-icestorm-pnr\" class=\"form-label\">Select P&R tool. Valid values are arachne and next. Default is arachne.</label>\n <select class=\"form-select\" aria-label=\"Select P&R tool. Valid values are arachne and next. Default is arachne.\" id=\"tools-icestorm-pnr\">\n <option value='arachne'>Arachne-pnr</option>\n <option value='next'>nextpnr</option>\n <option value='none'>Only perform synthesis</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-icestorm-arch\" class=\"form-label\">Target architecture.</label>\n <select class=\"form-select\" aria-label=\"Target architecture.\" id=\"tools-icestorm-arch\">\n <option value='xilinx'>Xilinx</option>\n <option value='ice40'>ICE40</option>\n <option value='ecp5'>ECP5</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-icestorm-output_format\" class=\"form-label\">Output file format.</label>\n <select class=\"form-select\" aria-label=\"Output file format.\" id=\"tools-icestorm-output_format\">\n <option value='json'>JSON</option>\n <option value='edif'>EDIF</option>\n <option value='blif'>BLIF</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <div class=\"form-check\">\n <input class=\"form-check-input\" type=\"checkbox\" value=\"\" id=\"tools-icestorm-yosys_as_subtool\">\n <label class=\"form-check-label\" for=\"tools-icestorm-yosys_as_subtool\">\n Determines if Yosys is run as a part of bigger toolchain, or as a standalone tool.\n </label>\n </div>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-icestorm-makefile_name\" class=\"form-label\">Generated makefile name, defaults to $name.mk</label>\n <input class=\"form-control\" id=\"tools-icestorm-makefile_name\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-icestorm-arachne_pnr_options\" class=\"form-label\">Options for ArachnePNR Place & Route.</label>\n <input class=\"form-control\" id=\"tools-icestorm-arachne_pnr_options\" rows=\"3\"></input>\n </div>\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-icestorm-nextpnr_options\" class=\"form-label\">Options for NextPNR Place & Route.</label>\n <input class=\"form-control\" id=\"tools-icestorm-nextpnr_options\" rows=\"3\"></input>\n </div>\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-icestorm-yosys_synth_options\" class=\"form-label\">Additional options for the synth_ice40 command.</label>\n <input class=\"form-control\" id=\"tools-icestorm-yosys_synth_options\" rows=\"3\"></input>\n </div>\n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"tools-ise\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Tools: ISE</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\">Xilinx ISE Design Suite.</h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-ise-installation_path\" class=\"form-label\">Installation path:</label>\n <input class=\"form-control\" id=\"tools-ise-installation_path\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-ise-family\" class=\"form-label\">FPGA family (e.g. spartan6).</label>\n <input class=\"form-control\" id=\"tools-ise-family\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-ise-device\" class=\"form-label\">FPGA device (e.g. xc6slx45).</label>\n <input class=\"form-control\" id=\"tools-ise-device\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-ise-package\" class=\"form-label\">FPGA package (e.g. csg324).</label>\n <input class=\"form-control\" id=\"tools-ise-package\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-ise-speed\" class=\"form-label\">FPGA speed grade (e.g. -2).</label>\n <input class=\"form-control\" id=\"tools-ise-speed\" rows=\"3\"></input>\n </div>\n \n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"tools-isem\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Tools: ISIM</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\">Xilinx ISim simulator from ISE design suite.</h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-isem-installation_path\" class=\"form-label\">Installation path:</label>\n <input class=\"form-control\" id=\"tools-isem-installation_path\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-isem-fuse_options\" class=\"form-label\">Additional options for compilation with FUSE.</label>\n <input class=\"form-control\" id=\"tools-isem-fuse_options\" rows=\"3\"></input>\n </div>\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-isem-isim_options\" class=\"form-label\">Additional run options for ISim.</label>\n <input class=\"form-control\" id=\"tools-isem-isim_options\" rows=\"3\"></input>\n </div>\n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"tools-modelsim\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Tools: ModelSim</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\">ModelSim simulator from Mentor Graphics.</h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-modelsim-installation_path\" class=\"form-label\">Installation path:</label>\n <input class=\"form-control\" id=\"tools-modelsim-installation_path\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-modelsim-vcom_options\" class=\"form-label\">Additional options for compilation with vcom.</label>\n <input class=\"form-control\" id=\"tools-modelsim-vcom_options\" rows=\"3\"></input>\n </div>\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-modelsim-vlog_options\" class=\"form-label\">Additional options for compilation with vlog.</label>\n <input class=\"form-control\" id=\"tools-modelsim-vlog_options\" rows=\"3\"></input>\n </div>\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-modelsim-vsim_options\" class=\"form-label\">Additional run options for vsim.</label>\n <input class=\"form-control\" id=\"tools-modelsim-vsim_options\" rows=\"3\"></input>\n </div>\n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"tools-morty\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Tools: Morty</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\">Run the (System-) Verilog pickle tool called morty.</h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-morty-installation_path\" class=\"form-label\">Installation path:</label>\n <input class=\"form-control\" id=\"tools-morty-installation_path\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-morty-morty_options\" class=\"form-label\">Run-time options passed to morty..</label>\n <input class=\"form-control\" id=\"tools-morty-morty_options\" rows=\"3\"></input>\n </div>\n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"tools-quartus\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Tools: Quartus</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\">The Quartus backend supports Intel Quartus Std and Pro editions to build systems and program the FPGA.</h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-quartus-installation_path\" class=\"form-label\">Installation path:</label>\n <input class=\"form-control\" id=\"tools-quartus-installation_path\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-quartus-family\" class=\"form-label\">FPGA family (e.g. Cyclone V).</label>\n <input class=\"form-control\" id=\"tools-quartus-family\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-quartus-device\" class=\"form-label\">FPGA device (e.g. 5CSXFC6D6F31C8ES).</label>\n <input class=\"form-control\" id=\"tools-quartus-device\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-quartus-cable\" class=\"form-label\">Specifies the FPGA’s JTAG programming cable. Use the tool jtagconfig to determine the available cables.</label>\n <input class=\"form-control\" id=\"tools-quartus-cable\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-quartus-board_device_index\" class=\"form-label\">Specifies the FPGA’s device number in the JTAG chain. The device index specifies the device where the flash programmer looks for the Nios® II JTAG debug module. JTAG devices are numbered relative to the JTAG chain, starting at 1. Use the tool jtagconfig to determine the index.</label>\n <input class=\"form-control\" id=\"tools-quartus-board_device_index\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-quartus-pnr\" class=\"form-label\">P&R tool. one (to just run synthesis).</label>\n <select class=\"form-select\" aria-label=\"P&R tool. one (to just run synthesis).\" id=\"tools-quartus-pnr\">\n <option value='default'>Default</option>\n <option value='dse'>Run Design Space Explorer</option>\n <option value='none'>Run synthesis</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-quartus-dse_options\" class=\"form-label\">Command-line options for Design Space Explorer.</label>\n <input class=\"form-control\" id=\"tools-quartus-dse_options\" rows=\"3\"></input>\n </div>\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-quartus-quartus_options\" class=\"form-label\">Extra command-line options for Quartus.</label>\n <input class=\"form-control\" id=\"tools-quartus-quartus_options\" rows=\"3\"></input>\n </div>\n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"tools-radiant\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Tools: Radiant</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\">Backend for Lattice Radiant.</h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-radiant-installation_path\" class=\"form-label\">Installation path:</label>\n <input class=\"form-control\" id=\"tools-radiant-installation_path\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-radiant-part\" class=\"form-label\">FPGA part number (e.g. LIFCL-40-9BG400C).</label>\n <input class=\"form-control\" id=\"tools-radiant-part\" rows=\"3\"></input>\n </div>\n \n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"tools-rivierapro\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Tools: Rivierapro</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\">Riviera Pro simulator from Aldec.</h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-rivierapro-installation_path\" class=\"form-label\">Installation path:</label>\n <input class=\"form-control\" id=\"tools-rivierapro-installation_path\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-rivierapro-compilation_mode\" class=\"form-label\">Common or separate compilation, sep - for separate compilation, common - for common compilation.</label>\n <input class=\"form-control\" id=\"tools-rivierapro-compilation_mode\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-rivierapro-vlog_options\" class=\"form-label\">Additional options for compilation with vlog.</label>\n <input class=\"form-control\" id=\"tools-rivierapro-vlog_options\" rows=\"3\"></input>\n </div>\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-rivierapro-vsim_options\" class=\"form-label\">Additional run options for vsim.</label>\n <input class=\"form-control\" id=\"tools-rivierapro-vsim_options\" rows=\"3\"></input>\n </div>\n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"tools-siliconcompiler\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Tools: SiliconCompiler</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\">SiliconCompiler is an open source compiler framework that automates translation from source code to silicon. Check the project documentation: <a href=\"https://docs.siliconcompiler.com/en/latest/\">https://docs.siliconcompiler.com/en/latest/</a></h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-siliconcompiler-installation_path\" class=\"form-label\"></label>\n <input class=\"form-control\" id=\"tools-siliconcompiler-installation_path\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-siliconcompiler-target\" class=\"form-label\">Compilation target separated by a single underscore, specified as '<process>_<edaflow>' for ASIC compilation and '<partname>_<edaflow>'' for FPGA compilation. The process, edaflow, partname fields must be alphanumeric and cannot contain underscores. E.g: asicflow_freepdk45</label>\n <input class=\"form-control\" id=\"tools-siliconcompiler-target\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <div class=\"form-check\">\n <input class=\"form-check-input\" type=\"checkbox\" value=\"\" id=\"tools-siliconcompiler-server_enable\">\n <label class=\"form-check-label\" for=\"tools-siliconcompiler-server_enable\">\n Enable remote server.\n </label>\n </div>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-siliconcompiler-server_address\" class=\"form-label\">Remote server address (e.g: https://server.siliconcompiler.com):</label>\n <input class=\"form-control\" id=\"tools-siliconcompiler-server_address\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-siliconcompiler-server_username\" class=\"form-label\">Remote server user:</label>\n <input class=\"form-control\" id=\"tools-siliconcompiler-server_username\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-siliconcompiler-server_password\" class=\"form-label\">Remote server password:</label>\n <input class=\"form-control\" id=\"tools-siliconcompiler-server_password\" rows=\"3\"></input>\n </div>\n \n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"tools-spyglass\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Tools: Spyglass</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\">Synopsys (formerly Atrenta) Spyglass Backend. Spyglass performs static source code analysis on HDL code and checks for common coding errors or coding style violations.</h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-spyglass-installation_path\" class=\"form-label\">Installation path:</label>\n <input class=\"form-control\" id=\"tools-spyglass-installation_path\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-spyglass-methodology\" class=\"form-label\"></label>\n <input class=\"form-control\" id=\"tools-spyglass-methodology\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-spyglass-goals\" class=\"form-label\"></label>\n <input class=\"form-control\" id=\"tools-spyglass-goals\" rows=\"3\"></input>\n </div>\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-spyglass-spyglass_options\" class=\"form-label\"></label>\n <input class=\"form-control\" id=\"tools-spyglass-spyglass_options\" rows=\"3\"></input>\n </div>\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-spyglass-rule_parameters\" class=\"form-label\"></label>\n <input class=\"form-control\" id=\"tools-spyglass-rule_parameters\" rows=\"3\"></input>\n </div>\n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"tools-symbiyosys\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Tools: SymbiYosys</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\">SymbiYosys formal verification wrapper for Yosys.</h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-symbiyosys-installation_path\" class=\"form-label\">Installation path:</label>\n <input class=\"form-control\" id=\"tools-symbiyosys-installation_path\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-symbiyosys-tasknames\" class=\"form-label\">A list of the .sby file’s tasks to run. Passed on the sby command line..</label>\n <input class=\"form-control\" id=\"tools-symbiyosys-tasknames\" rows=\"3\"></input>\n </div>\n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"tools-symbiflow\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Tools: Symbiflow</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\">VHDL Style Guide. Analyzes VHDL files for style guide violations.</h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-symbiflow-installation_path\" class=\"form-label\">Installation path:</label>\n <input class=\"form-control\" id=\"tools-symbiflow-installation_path\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-symbiflow-package\" class=\"form-label\">FPGA chip package (e.g. clg400-1).</label>\n <input class=\"form-control\" id=\"tools-symbiflow-package\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-symbiflow-part\" class=\"form-label\">FPGA part type (e.g. xc7a50t).</label>\n <input class=\"form-control\" id=\"tools-symbiflow-part\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-symbiflow-vendor\" class=\"form-label\">Target architecture. Currently only “xilinx” is supported.</label>\n <input class=\"form-control\" id=\"tools-symbiflow-vendor\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-symbiflow-pnr\" class=\"form-label\">Place and Route tool. Currently only “vpr” is supported.</label>\n <select class=\"form-select\" aria-label=\"Place and Route tool. Currently only “vpr” is supported.\" id=\"tools-symbiflow-pnr\">\n <option value='vpr'>VPR</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-symbiflow-vpr_options\" class=\"form-label\">Additional vpr tool options. If not used, default options for the tool will be used.</label>\n <input class=\"form-control\" id=\"tools-symbiflow-vpr_options\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-symbiflow-environment_script\" class=\"form-label\">Optional bash script that will be sourced before each build step..</label>\n <input class=\"form-control\" id=\"tools-symbiflow-environment_script\" rows=\"3\"></input>\n </div>\n \n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"tools-trellis\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Tools: Trellis</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\">Project Trellis enables a fully open-source flow for ECP5 FPGAs using Yosys for Verilog synthesis and nextpnr for place and route.</h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-trellis-installation_path\" class=\"form-label\">Installation path:</label>\n <input class=\"form-control\" id=\"tools-trellis-installation_path\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-trellis-arch\" class=\"form-label\">Target architecture.</label>\n <select class=\"form-select\" aria-label=\"Target architecture.\" id=\"tools-trellis-arch\">\n <option value='xilinx'>Xilinx</option>\n <option value='ice40'>ICE40</option>\n <option value='ecp5'>ECP5</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-trellis-output_format\" class=\"form-label\">Output file format.</label>\n <select class=\"form-select\" aria-label=\"Output file format.\" id=\"tools-trellis-output_format\">\n <option value='json'>JSON</option>\n <option value='edif'>EDIF</option>\n <option value='blif'>BLIF</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <div class=\"form-check\">\n <input class=\"form-check-input\" type=\"checkbox\" value=\"\" id=\"tools-trellis-yosys_as_subtool\">\n <label class=\"form-check-label\" for=\"tools-trellis-yosys_as_subtool\">\n Determines if Yosys is run as a part of bigger toolchain, or as a standalone tool.\n </label>\n </div>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-trellis-makefile_name\" class=\"form-label\">Generated makefile name, defaults to $name.mk</label>\n <input class=\"form-control\" id=\"tools-trellis-makefile_name\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-trellis-script_name\" class=\"form-label\">Generated tcl script filename, defaults to $name.mk</label>\n <input class=\"form-control\" id=\"tools-trellis-script_name\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-trellis-nextpnr_options\" class=\"form-label\">Options for NextPNR Place & Route.</label>\n <input class=\"form-control\" id=\"tools-trellis-nextpnr_options\" rows=\"3\"></input>\n </div>\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-trellis-yosys_synth_options\" class=\"form-label\">Additional options for the synth_ice40 command.</label>\n <input class=\"form-control\" id=\"tools-trellis-yosys_synth_options\" rows=\"3\"></input>\n </div>\n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"tools-vcs\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Tools: VCS</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\">Synopsys VCS Backend</h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-vcs-installation_path\" class=\"form-label\">Installation path:</label>\n <input class=\"form-control\" id=\"tools-vcs-installation_path\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-vcs-vcs_options\" class=\"form-label\">Compile time options passed to vcs</label>\n <input class=\"form-control\" id=\"tools-vcs-vcs_options\" rows=\"3\"></input>\n </div>\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-vcs-run_options\" class=\"form-label\">Runtime options passed to the simulation</label>\n <input class=\"form-control\" id=\"tools-vcs-run_options\" rows=\"3\"></input>\n </div>\n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"tools-veriblelint\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Tools: VeribleLint</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\">Verible lint backend (verible-verilog-lint).</h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-veriblelint-installation_path\" class=\"form-label\">Installation path:</label>\n <input class=\"form-control\" id=\"tools-veriblelint-installation_path\" rows=\"3\"></input>\n </div>\n \n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"tools-verilator\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Tools: Verilator</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\">Verible lint backend (verible-verilog-lint).</h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-verilator-installation_path\" class=\"form-label\">Installation path:</label>\n <input class=\"form-control\" id=\"tools-verilator-installation_path\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-verilator-mode\" class=\"form-label\">Select compilation mode. Legal values are cc for C++ testbenches, sc for SystemC testbenches or lint-only to only perform linting on the Verilog code.</label>\n <select class=\"form-select\" aria-label=\"Select compilation mode. Legal values are cc for C++ testbenches, sc for SystemC testbenches or lint-only to only perform linting on the Verilog code.\" id=\"tools-verilator-mode\">\n <option value='cc'>cc</option>\n <option value='sc'>sc</option>\n <option value='lint-only'>lint-only</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-verilator-libs\" class=\"form-label\">Extra libraries for the verilated model to link against.</label>\n <input class=\"form-control\" id=\"tools-verilator-libs\" rows=\"3\"></input>\n </div>\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-verilator-verilator_options\" class=\"form-label\">Additional options for verilator.</label>\n <input class=\"form-control\" id=\"tools-verilator-verilator_options\" rows=\"3\"></input>\n </div>\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-verilator-make_options\" class=\"form-label\">Additional arguments passed to make when compiling the simulation. This is commonly used to set OPT/OPT_FAST/OPT_SLOW.</label>\n <input class=\"form-control\" id=\"tools-verilator-make_options\" rows=\"3\"></input>\n </div>\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-verilator-run_options\" class=\"form-label\">Additional arguments directly passed to the verilated model.</label>\n <input class=\"form-control\" id=\"tools-verilator-run_options\" rows=\"3\"></input>\n </div>\n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"tools-vivado\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Tools: Vivado</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\">The Vivado backend executes Xilinx Vivado to build systems and program the FPGA.</h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-vivado-installation_path\" class=\"form-label\">Installation path:</label>\n <input class=\"form-control\" id=\"tools-vivado-installation_path\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-vivado-part\" class=\"form-label\">Part. Device identifier. e.g. xc7a35tcsg324-1.</label>\n <input class=\"form-control\" id=\"tools-vivado-part\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-vivado-synth\" class=\"form-label\">Synthesis tool. Allowed values are vivado (default) and yosys..</label>\n <input class=\"form-control\" id=\"tools-vivado-synth\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-vivado-pnr\" class=\"form-label\">Choose only synthesis or place and route and bitstream generation:</label>\n <select class=\"form-select\" aria-label=\"Choose only synthesis or place and route and bitstream generation:\" id=\"tools-vivado-pnr\">\n <option value='vivado'>Place and route</option>\n <option value='none'>Only synthesis</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-vivado-jtag_freq\" class=\"form-label\">The frequency for jtag communication.</label>\n <input type='number' class=\"form-control\" id=\"tools-vivado-jtag_freq\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-vivado-hw_target\" class=\"form-label\">Board identifier (e.g. */xilinx_tcf/Digilent/123456789123A.</label>\n <input class=\"form-control\" id=\"tools-vivado-hw_target\" rows=\"3\"></input>\n </div>\n \n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"tools-vunit\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Tools: VUnit</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\">VUnit testing framework.</h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-vunit-installation_path\" class=\"form-label\">Installation path:</label>\n <input class=\"form-control\" id=\"tools-vunit-installation_path\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-vunit-simulator_name\" class=\"form-label\">VUnit simulator:</label>\n <select class=\"form-select\" aria-label=\"VUnit simulator:\" id=\"tools-vunit-simulator_name\">\n <option value='rivierapro'>Aldec Riviera-PRO</option>\n <option value='activehdl'>Aldec Active-HDL</option>\n <option value='ghdl'>GHDL</option>\n <option value='modelsim'>Mentor Graphics ModelSim/Questa</option>\n <option value='xsim'>XSIM (Not supported in official VUnit)</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-vunit-runpy_mode\" class=\"form-label\">runpy mode:</label>\n <select class=\"form-select\" aria-label=\"runpy mode:\" id=\"tools-vunit-runpy_mode\">\n <option value='standalone'>Standalone</option>\n <option value='creation'>Creation</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-vunit-extra_options\" class=\"form-label\">VUnit options. Extra options for the VUnit test runner.</label>\n <input class=\"form-control\" id=\"tools-vunit-extra_options\" rows=\"3\"></input>\n </div>\n \n \n \n <div class=\"mb-3\">\n <div class=\"form-check\">\n <input class=\"form-check-input\" type=\"checkbox\" value=\"\" id=\"tools-vunit-enable_array_util_lib\">\n <label class=\"form-check-label\" for=\"tools-vunit-enable_array_util_lib\">\n Enable array util library in non standalone mode.\n </label>\n </div>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <div class=\"form-check\">\n <input class=\"form-check-input\" type=\"checkbox\" value=\"\" id=\"tools-vunit-enable_com_lib\">\n <label class=\"form-check-label\" for=\"tools-vunit-enable_com_lib\">\n Enable com library in non standalone mode.\n </label>\n </div>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <div class=\"form-check\">\n <input class=\"form-check-input\" type=\"checkbox\" value=\"\" id=\"tools-vunit-enable_json4vhdl_lib\">\n <label class=\"form-check-label\" for=\"tools-vunit-enable_json4vhdl_lib\">\n Enable json4vhdl library in non standalone mode.\n </label>\n </div>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <div class=\"form-check\">\n <input class=\"form-check-input\" type=\"checkbox\" value=\"\" id=\"tools-vunit-enable_osvvm_lib\">\n <label class=\"form-check-label\" for=\"tools-vunit-enable_osvvm_lib\">\n Enable OSVVM library in non standalone mode.\n </label>\n </div>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <div class=\"form-check\">\n <input class=\"form-check-input\" type=\"checkbox\" value=\"\" id=\"tools-vunit-enable_random_lib\">\n <label class=\"form-check-label\" for=\"tools-vunit-enable_random_lib\">\n Enable random library in non standalone mode.\n </label>\n </div>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <div class=\"form-check\">\n <input class=\"form-check-input\" type=\"checkbox\" value=\"\" id=\"tools-vunit-enable_verification_components_lib\">\n <label class=\"form-check-label\" for=\"tools-vunit-enable_verification_components_lib\">\n Enable verification components library in non standalone mode.\n </label>\n </div>\n </div>\n \n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"tools-xcelium\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Tools: Xcelium</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\">Xcelium simulator from Cadence Design Systems.</h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-xcelium-installation_path\" class=\"form-label\">Installation path:</label>\n <input class=\"form-control\" id=\"tools-xcelium-installation_path\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-xcelium-xmvhdl_options\" class=\"form-label\">Additional options for compilation with xmvhdl.</label>\n <input class=\"form-control\" id=\"tools-xcelium-xmvhdl_options\" rows=\"3\"></input>\n </div>\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-xcelium-xmvlog_options\" class=\"form-label\">Additional options for compilation with xmvlog.</label>\n <input class=\"form-control\" id=\"tools-xcelium-xmvlog_options\" rows=\"3\"></input>\n </div>\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-xcelium-xmsim_options\" class=\"form-label\">Additional run options for xmsim.</label>\n <input class=\"form-control\" id=\"tools-xcelium-xmsim_options\" rows=\"3\"></input>\n </div>\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-xcelium-xrun_options\" class=\"form-label\">Additional run options for xrun.</label>\n <input class=\"form-control\" id=\"tools-xcelium-xrun_options\" rows=\"3\"></input>\n </div>\n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"tools-xsim\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Tools: XSIM</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\">XSim simulator from the Xilinx Vivado suite.</h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-xsim-installation_path\" class=\"form-label\">Installation path:</label>\n <input class=\"form-control\" id=\"tools-xsim-installation_path\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-xsim-xelab_options\" class=\"form-label\">Additional options for compilation with xelab.</label>\n <input class=\"form-control\" id=\"tools-xsim-xelab_options\" rows=\"3\"></input>\n </div>\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-xsim-xsim_options\" class=\"form-label\">Additional run options for XSim.</label>\n <input class=\"form-control\" id=\"tools-xsim-xsim_options\" rows=\"3\"></input>\n </div>\n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"tools-yosys\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Tools: Yosys</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\">Open source synthesis tool targeting many different FPGAs.</h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-yosys-installation_path\" class=\"form-label\">Installation path:</label>\n <input class=\"form-control\" id=\"tools-yosys-installation_path\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-yosys-arch\" class=\"form-label\">Target architecture.</label>\n <select class=\"form-select\" aria-label=\"Target architecture.\" id=\"tools-yosys-arch\">\n <option value='xilinx'>Xilinx</option>\n <option value='ice40'>ICE40</option>\n <option value='ecp5'>ECP5</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-yosys-output_format\" class=\"form-label\">Output file format.</label>\n <select class=\"form-select\" aria-label=\"Output file format.\" id=\"tools-yosys-output_format\">\n <option value='json'>JSON</option>\n <option value='edif'>EDIF</option>\n <option value='blif'>BLIF</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <div class=\"form-check\">\n <input class=\"form-check-input\" type=\"checkbox\" value=\"\" id=\"tools-yosys-yosys_as_subtool\">\n <label class=\"form-check-label\" for=\"tools-yosys-yosys_as_subtool\">\n Determines if Yosys is run as a part of bigger toolchain, or as a standalone tool.\n </label>\n </div>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-yosys-makefile_name\" class=\"form-label\">Generated makefile name, defaults to $name.mk</label>\n <input class=\"form-control\" id=\"tools-yosys-makefile_name\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-yosys-script_name\" class=\"form-label\">Generated tcl script filename, defaults to $name.mk</label>\n <input class=\"form-control\" id=\"tools-yosys-script_name\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-yosys-yosys_synth_options\" class=\"form-label\">Additional options for the synth_ice40 command.</label>\n <input class=\"form-control\" id=\"tools-yosys-yosys_synth_options\" rows=\"3\"></input>\n </div>\n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"tools-openfpga\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Tools: OpenFPGA</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\">The award-winning OpenFPGA framework is the first open-source FPGA IP generator with silicon proofs supporting highly-customizable FPGA architectures.</h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-openfpga-installation_path\" class=\"form-label\">Installation path:</label>\n <input class=\"form-control\" id=\"tools-openfpga-installation_path\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-openfpga-arch\" class=\"form-label\">Target architecture.</label>\n <select class=\"form-select\" aria-label=\"Target architecture.\" id=\"tools-openfpga-arch\">\n <option value='xilinx'>Xilinx</option>\n <option value='ice40'>ICE40</option>\n <option value='ecp5'>ECP5</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-openfpga-output_format\" class=\"form-label\">Output file format.</label>\n <select class=\"form-select\" aria-label=\"Output file format.\" id=\"tools-openfpga-output_format\">\n <option value='json'>JSON</option>\n <option value='edif'>EDIF</option>\n <option value='blif'>BLIF</option>\n </select>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <div class=\"form-check\">\n <input class=\"form-check-input\" type=\"checkbox\" value=\"\" id=\"tools-openfpga-yosys_as_subtool\">\n <label class=\"form-check-label\" for=\"tools-openfpga-yosys_as_subtool\">\n Determines if Yosys is run as a part of bigger toolchain, or as a standalone tool.\n </label>\n </div>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-openfpga-makefile_name\" class=\"form-label\">Generated makefile name, defaults to $name.mk</label>\n <input class=\"form-control\" id=\"tools-openfpga-makefile_name\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-openfpga-script_name\" class=\"form-label\">Generated tcl script filename, defaults to $name.mk</label>\n <input class=\"form-control\" id=\"tools-openfpga-script_name\" rows=\"3\"></input>\n </div>\n \n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-openfpga-yosys_synth_options\" class=\"form-label\">Additional options for the synth_ice40 command.</label>\n <input class=\"form-control\" id=\"tools-openfpga-yosys_synth_options\" rows=\"3\"></input>\n </div>\n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"tools-activehdl\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Tools: Active-HDL</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\">Active-HDL™ is a Windows based, integrated FPGA Design Creation and Simulation solution for team-based environments.</h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-activehdl-installation_path\" class=\"form-label\">Installation path:</label>\n <input class=\"form-control\" id=\"tools-activehdl-installation_path\" rows=\"3\"></input>\n </div>\n \n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"tools-nvc\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Tools: NVC</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\">NVC is a VHDL compiler and simulator. NVC supports almost all of VHDL-2002 and it has been successfully used to simulate several real-world designs.</h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-nvc-installation_path\" class=\"form-label\">Installation path:</label>\n <input class=\"form-control\" id=\"tools-nvc-installation_path\" rows=\"3\"></input>\n </div>\n \n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n <div class=\"card h-100\" id=\"tools-questa\">\n <div class=\"card-header\">\n <h1 class=\"card-title\">Tools: Questa Advanced Simulator</h1>\n <h6 class=\"card-subtitle mb-2 text-muted\">The Questa advanced simulator is the core simulation and debug engine of the Questa verification solution.</h6>\n </div>\n <div class=\"card-body\">\n \n \n \n <div class=\"mb-3\">\n <label for=\"tools-questa-installation_path\" class=\"form-label\">Installation path:</label>\n <input class=\"form-control\" id=\"tools-questa-installation_path\" rows=\"3\"></input>\n </div>\n \n \n \n \n </div>\n \n <div class=\"card-footer\">\n <button type=\"button_cancel\" class=\"btn btn-m btn-block btn-primary btn-danger\" onclick=\"close_panel(event)\">Close</button>\n <button type=\"button_apply\" class=\"btn btn-m btn-block btn-primary btn btn-success\" onclick=\"send_config(event)\">Apply</button>\n <button type=\"button_apply_close\" class=\"btn btn-m btn-block btn-primary\" onclick=\"send_config_and_close(event)\">Apply and close</button>\n </div>\n </div>\n</div>\n\n </div>\n </div>\n\n\n<script>\n \n function enable_tab(tp0, tp1){\n const complete = tp0 + \"-\" + tp1;\n if (\"general\" == tp0 && \"general\" == tp1){\n document.getElementById(\"general-general\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"general-general\").classList.remove('d-none');\n document.getElementById(\"general-general\").classList.add('d-none');\n }\n if (\"documentation\" == tp0 && \"general\" == tp1){\n document.getElementById(\"documentation-general\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"documentation-general\").classList.remove('d-none');\n document.getElementById(\"documentation-general\").classList.add('d-none');\n }\n if (\"editor\" == tp0 && \"general\" == tp1){\n document.getElementById(\"editor-general\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"editor-general\").classList.remove('d-none');\n document.getElementById(\"editor-general\").classList.add('d-none');\n }\n if (\"formatter\" == tp0 && \"general\" == tp1){\n document.getElementById(\"formatter-general\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"formatter-general\").classList.remove('d-none');\n document.getElementById(\"formatter-general\").classList.add('d-none');\n }\n if (\"formatter\" == tp0 && \"istyle\" == tp1){\n document.getElementById(\"formatter-istyle\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"formatter-istyle\").classList.remove('d-none');\n document.getElementById(\"formatter-istyle\").classList.add('d-none');\n }\n if (\"formatter\" == tp0 && \"s3sv\" == tp1){\n document.getElementById(\"formatter-s3sv\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"formatter-s3sv\").classList.remove('d-none');\n document.getElementById(\"formatter-s3sv\").classList.add('d-none');\n }\n if (\"formatter\" == tp0 && \"verible\" == tp1){\n document.getElementById(\"formatter-verible\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"formatter-verible\").classList.remove('d-none');\n document.getElementById(\"formatter-verible\").classList.add('d-none');\n }\n if (\"formatter\" == tp0 && \"standalone\" == tp1){\n document.getElementById(\"formatter-standalone\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"formatter-standalone\").classList.remove('d-none');\n document.getElementById(\"formatter-standalone\").classList.add('d-none');\n }\n if (\"formatter\" == tp0 && \"svg\" == tp1){\n document.getElementById(\"formatter-svg\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"formatter-svg\").classList.remove('d-none');\n document.getElementById(\"formatter-svg\").classList.add('d-none');\n }\n if (\"linter\" == tp0 && \"general\" == tp1){\n document.getElementById(\"linter-general\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"linter-general\").classList.remove('d-none');\n document.getElementById(\"linter-general\").classList.add('d-none');\n }\n if (\"linter\" == tp0 && \"ghdl\" == tp1){\n document.getElementById(\"linter-ghdl\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"linter-ghdl\").classList.remove('d-none');\n document.getElementById(\"linter-ghdl\").classList.add('d-none');\n }\n if (\"linter\" == tp0 && \"icarus\" == tp1){\n document.getElementById(\"linter-icarus\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"linter-icarus\").classList.remove('d-none');\n document.getElementById(\"linter-icarus\").classList.add('d-none');\n }\n if (\"linter\" == tp0 && \"modelsim\" == tp1){\n document.getElementById(\"linter-modelsim\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"linter-modelsim\").classList.remove('d-none');\n document.getElementById(\"linter-modelsim\").classList.add('d-none');\n }\n if (\"linter\" == tp0 && \"verible\" == tp1){\n document.getElementById(\"linter-verible\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"linter-verible\").classList.remove('d-none');\n document.getElementById(\"linter-verible\").classList.add('d-none');\n }\n if (\"linter\" == tp0 && \"verilator\" == tp1){\n document.getElementById(\"linter-verilator\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"linter-verilator\").classList.remove('d-none');\n document.getElementById(\"linter-verilator\").classList.add('d-none');\n }\n if (\"linter\" == tp0 && \"vivado\" == tp1){\n document.getElementById(\"linter-vivado\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"linter-vivado\").classList.remove('d-none');\n document.getElementById(\"linter-vivado\").classList.add('d-none');\n }\n if (\"linter\" == tp0 && \"vsg\" == tp1){\n document.getElementById(\"linter-vsg\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"linter-vsg\").classList.remove('d-none');\n document.getElementById(\"linter-vsg\").classList.add('d-none');\n }\n if (\"schematic\" == tp0 && \"general\" == tp1){\n document.getElementById(\"schematic-general\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"schematic-general\").classList.remove('d-none');\n document.getElementById(\"schematic-general\").classList.add('d-none');\n }\n if (\"templates\" == tp0 && \"general\" == tp1){\n document.getElementById(\"templates-general\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"templates-general\").classList.remove('d-none');\n document.getElementById(\"templates-general\").classList.add('d-none');\n }\n if (\"tools\" == tp0 && \"general\" == tp1){\n document.getElementById(\"tools-general\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"tools-general\").classList.remove('d-none');\n document.getElementById(\"tools-general\").classList.add('d-none');\n }\n if (\"tools\" == tp0 && \"osvvm\" == tp1){\n document.getElementById(\"tools-osvvm\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"tools-osvvm\").classList.remove('d-none');\n document.getElementById(\"tools-osvvm\").classList.add('d-none');\n }\n if (\"tools\" == tp0 && \"ascenlint\" == tp1){\n document.getElementById(\"tools-ascenlint\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"tools-ascenlint\").classList.remove('d-none');\n document.getElementById(\"tools-ascenlint\").classList.add('d-none');\n }\n if (\"tools\" == tp0 && \"cocotb\" == tp1){\n document.getElementById(\"tools-cocotb\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"tools-cocotb\").classList.remove('d-none');\n document.getElementById(\"tools-cocotb\").classList.add('d-none');\n }\n if (\"tools\" == tp0 && \"diamond\" == tp1){\n document.getElementById(\"tools-diamond\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"tools-diamond\").classList.remove('d-none');\n document.getElementById(\"tools-diamond\").classList.add('d-none');\n }\n if (\"tools\" == tp0 && \"ghdl\" == tp1){\n document.getElementById(\"tools-ghdl\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"tools-ghdl\").classList.remove('d-none');\n document.getElementById(\"tools-ghdl\").classList.add('d-none');\n }\n if (\"tools\" == tp0 && \"icarus\" == tp1){\n document.getElementById(\"tools-icarus\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"tools-icarus\").classList.remove('d-none');\n document.getElementById(\"tools-icarus\").classList.add('d-none');\n }\n if (\"tools\" == tp0 && \"icestorm\" == tp1){\n document.getElementById(\"tools-icestorm\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"tools-icestorm\").classList.remove('d-none');\n document.getElementById(\"tools-icestorm\").classList.add('d-none');\n }\n if (\"tools\" == tp0 && \"ise\" == tp1){\n document.getElementById(\"tools-ise\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"tools-ise\").classList.remove('d-none');\n document.getElementById(\"tools-ise\").classList.add('d-none');\n }\n if (\"tools\" == tp0 && \"isem\" == tp1){\n document.getElementById(\"tools-isem\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"tools-isem\").classList.remove('d-none');\n document.getElementById(\"tools-isem\").classList.add('d-none');\n }\n if (\"tools\" == tp0 && \"modelsim\" == tp1){\n document.getElementById(\"tools-modelsim\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"tools-modelsim\").classList.remove('d-none');\n document.getElementById(\"tools-modelsim\").classList.add('d-none');\n }\n if (\"tools\" == tp0 && \"morty\" == tp1){\n document.getElementById(\"tools-morty\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"tools-morty\").classList.remove('d-none');\n document.getElementById(\"tools-morty\").classList.add('d-none');\n }\n if (\"tools\" == tp0 && \"quartus\" == tp1){\n document.getElementById(\"tools-quartus\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"tools-quartus\").classList.remove('d-none');\n document.getElementById(\"tools-quartus\").classList.add('d-none');\n }\n if (\"tools\" == tp0 && \"radiant\" == tp1){\n document.getElementById(\"tools-radiant\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"tools-radiant\").classList.remove('d-none');\n document.getElementById(\"tools-radiant\").classList.add('d-none');\n }\n if (\"tools\" == tp0 && \"rivierapro\" == tp1){\n document.getElementById(\"tools-rivierapro\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"tools-rivierapro\").classList.remove('d-none');\n document.getElementById(\"tools-rivierapro\").classList.add('d-none');\n }\n if (\"tools\" == tp0 && \"siliconcompiler\" == tp1){\n document.getElementById(\"tools-siliconcompiler\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"tools-siliconcompiler\").classList.remove('d-none');\n document.getElementById(\"tools-siliconcompiler\").classList.add('d-none');\n }\n if (\"tools\" == tp0 && \"spyglass\" == tp1){\n document.getElementById(\"tools-spyglass\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"tools-spyglass\").classList.remove('d-none');\n document.getElementById(\"tools-spyglass\").classList.add('d-none');\n }\n if (\"tools\" == tp0 && \"symbiyosys\" == tp1){\n document.getElementById(\"tools-symbiyosys\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"tools-symbiyosys\").classList.remove('d-none');\n document.getElementById(\"tools-symbiyosys\").classList.add('d-none');\n }\n if (\"tools\" == tp0 && \"symbiflow\" == tp1){\n document.getElementById(\"tools-symbiflow\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"tools-symbiflow\").classList.remove('d-none');\n document.getElementById(\"tools-symbiflow\").classList.add('d-none');\n }\n if (\"tools\" == tp0 && \"trellis\" == tp1){\n document.getElementById(\"tools-trellis\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"tools-trellis\").classList.remove('d-none');\n document.getElementById(\"tools-trellis\").classList.add('d-none');\n }\n if (\"tools\" == tp0 && \"vcs\" == tp1){\n document.getElementById(\"tools-vcs\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"tools-vcs\").classList.remove('d-none');\n document.getElementById(\"tools-vcs\").classList.add('d-none');\n }\n if (\"tools\" == tp0 && \"veriblelint\" == tp1){\n document.getElementById(\"tools-veriblelint\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"tools-veriblelint\").classList.remove('d-none');\n document.getElementById(\"tools-veriblelint\").classList.add('d-none');\n }\n if (\"tools\" == tp0 && \"verilator\" == tp1){\n document.getElementById(\"tools-verilator\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"tools-verilator\").classList.remove('d-none');\n document.getElementById(\"tools-verilator\").classList.add('d-none');\n }\n if (\"tools\" == tp0 && \"vivado\" == tp1){\n document.getElementById(\"tools-vivado\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"tools-vivado\").classList.remove('d-none');\n document.getElementById(\"tools-vivado\").classList.add('d-none');\n }\n if (\"tools\" == tp0 && \"vunit\" == tp1){\n document.getElementById(\"tools-vunit\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"tools-vunit\").classList.remove('d-none');\n document.getElementById(\"tools-vunit\").classList.add('d-none');\n }\n if (\"tools\" == tp0 && \"xcelium\" == tp1){\n document.getElementById(\"tools-xcelium\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"tools-xcelium\").classList.remove('d-none');\n document.getElementById(\"tools-xcelium\").classList.add('d-none');\n }\n if (\"tools\" == tp0 && \"xsim\" == tp1){\n document.getElementById(\"tools-xsim\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"tools-xsim\").classList.remove('d-none');\n document.getElementById(\"tools-xsim\").classList.add('d-none');\n }\n if (\"tools\" == tp0 && \"yosys\" == tp1){\n document.getElementById(\"tools-yosys\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"tools-yosys\").classList.remove('d-none');\n document.getElementById(\"tools-yosys\").classList.add('d-none');\n }\n if (\"tools\" == tp0 && \"openfpga\" == tp1){\n document.getElementById(\"tools-openfpga\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"tools-openfpga\").classList.remove('d-none');\n document.getElementById(\"tools-openfpga\").classList.add('d-none');\n }\n if (\"tools\" == tp0 && \"activehdl\" == tp1){\n document.getElementById(\"tools-activehdl\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"tools-activehdl\").classList.remove('d-none');\n document.getElementById(\"tools-activehdl\").classList.add('d-none');\n }\n if (\"tools\" == tp0 && \"nvc\" == tp1){\n document.getElementById(\"tools-nvc\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"tools-nvc\").classList.remove('d-none');\n document.getElementById(\"tools-nvc\").classList.add('d-none');\n }\n if (\"tools\" == tp0 && \"questa\" == tp1){\n document.getElementById(\"tools-questa\").classList.remove('d-none');\n }\n else{\n document.getElementById(\"tools-questa\").classList.remove('d-none');\n document.getElementById(\"tools-questa\").classList.add('d-none');\n }\n }\n\n enable_tab('general', 'general');\n\n document.getElementById(\"btn-general-general\").addEventListener(\"click\", function() {\n enable_tab(\"general\",\"general\")\n });\n\n document.getElementById(\"btn-documentation-general\").addEventListener(\"click\", function() {\n enable_tab(\"documentation\",\"general\")\n });\n\n document.getElementById(\"btn-editor-general\").addEventListener(\"click\", function() {\n enable_tab(\"editor\",\"general\")\n });\n\n document.getElementById(\"btn-formatter-general\").addEventListener(\"click\", function() {\n enable_tab(\"formatter\",\"general\")\n });\n\n document.getElementById(\"btn-formatter-istyle\").addEventListener(\"click\", function() {\n enable_tab(\"formatter\",\"istyle\")\n });\n\n document.getElementById(\"btn-formatter-s3sv\").addEventListener(\"click\", function() {\n enable_tab(\"formatter\",\"s3sv\")\n });\n\n document.getElementById(\"btn-formatter-verible\").addEventListener(\"click\", function() {\n enable_tab(\"formatter\",\"verible\")\n });\n\n document.getElementById(\"btn-formatter-standalone\").addEventListener(\"click\", function() {\n enable_tab(\"formatter\",\"standalone\")\n });\n\n document.getElementById(\"btn-formatter-svg\").addEventListener(\"click\", function() {\n enable_tab(\"formatter\",\"svg\")\n });\n\n document.getElementById(\"btn-linter-general\").addEventListener(\"click\", function() {\n enable_tab(\"linter\",\"general\")\n });\n\n document.getElementById(\"btn-linter-ghdl\").addEventListener(\"click\", function() {\n enable_tab(\"linter\",\"ghdl\")\n });\n\n document.getElementById(\"btn-linter-icarus\").addEventListener(\"click\", function() {\n enable_tab(\"linter\",\"icarus\")\n });\n\n document.getElementById(\"btn-linter-modelsim\").addEventListener(\"click\", function() {\n enable_tab(\"linter\",\"modelsim\")\n });\n\n document.getElementById(\"btn-linter-verible\").addEventListener(\"click\", function() {\n enable_tab(\"linter\",\"verible\")\n });\n\n document.getElementById(\"btn-linter-verilator\").addEventListener(\"click\", function() {\n enable_tab(\"linter\",\"verilator\")\n });\n\n document.getElementById(\"btn-linter-vivado\").addEventListener(\"click\", function() {\n enable_tab(\"linter\",\"vivado\")\n });\n\n document.getElementById(\"btn-linter-vsg\").addEventListener(\"click\", function() {\n enable_tab(\"linter\",\"vsg\")\n });\n\n document.getElementById(\"btn-schematic-general\").addEventListener(\"click\", function() {\n enable_tab(\"schematic\",\"general\")\n });\n\n document.getElementById(\"btn-templates-general\").addEventListener(\"click\", function() {\n enable_tab(\"templates\",\"general\")\n });\n\n document.getElementById(\"btn-tools-general\").addEventListener(\"click\", function() {\n enable_tab(\"tools\",\"general\")\n });\n\n document.getElementById(\"btn-tools-osvvm\").addEventListener(\"click\", function() {\n enable_tab(\"tools\",\"osvvm\")\n });\n\n document.getElementById(\"btn-tools-ascenlint\").addEventListener(\"click\", function() {\n enable_tab(\"tools\",\"ascenlint\")\n });\n\n document.getElementById(\"btn-tools-cocotb\").addEventListener(\"click\", function() {\n enable_tab(\"tools\",\"cocotb\")\n });\n\n document.getElementById(\"btn-tools-diamond\").addEventListener(\"click\", function() {\n enable_tab(\"tools\",\"diamond\")\n });\n\n document.getElementById(\"btn-tools-ghdl\").addEventListener(\"click\", function() {\n enable_tab(\"tools\",\"ghdl\")\n });\n\n document.getElementById(\"btn-tools-icarus\").addEventListener(\"click\", function() {\n enable_tab(\"tools\",\"icarus\")\n });\n\n document.getElementById(\"btn-tools-icestorm\").addEventListener(\"click\", function() {\n enable_tab(\"tools\",\"icestorm\")\n });\n\n document.getElementById(\"btn-tools-ise\").addEventListener(\"click\", function() {\n enable_tab(\"tools\",\"ise\")\n });\n\n document.getElementById(\"btn-tools-isem\").addEventListener(\"click\", function() {\n enable_tab(\"tools\",\"isem\")\n });\n\n document.getElementById(\"btn-tools-modelsim\").addEventListener(\"click\", function() {\n enable_tab(\"tools\",\"modelsim\")\n });\n\n document.getElementById(\"btn-tools-morty\").addEventListener(\"click\", function() {\n enable_tab(\"tools\",\"morty\")\n });\n\n document.getElementById(\"btn-tools-quartus\").addEventListener(\"click\", function() {\n enable_tab(\"tools\",\"quartus\")\n });\n\n document.getElementById(\"btn-tools-radiant\").addEventListener(\"click\", function() {\n enable_tab(\"tools\",\"radiant\")\n });\n\n document.getElementById(\"btn-tools-rivierapro\").addEventListener(\"click\", function() {\n enable_tab(\"tools\",\"rivierapro\")\n });\n\n document.getElementById(\"btn-tools-siliconcompiler\").addEventListener(\"click\", function() {\n enable_tab(\"tools\",\"siliconcompiler\")\n });\n\n document.getElementById(\"btn-tools-spyglass\").addEventListener(\"click\", function() {\n enable_tab(\"tools\",\"spyglass\")\n });\n\n document.getElementById(\"btn-tools-symbiyosys\").addEventListener(\"click\", function() {\n enable_tab(\"tools\",\"symbiyosys\")\n });\n\n document.getElementById(\"btn-tools-symbiflow\").addEventListener(\"click\", function() {\n enable_tab(\"tools\",\"symbiflow\")\n });\n\n document.getElementById(\"btn-tools-trellis\").addEventListener(\"click\", function() {\n enable_tab(\"tools\",\"trellis\")\n });\n\n document.getElementById(\"btn-tools-vcs\").addEventListener(\"click\", function() {\n enable_tab(\"tools\",\"vcs\")\n });\n\n document.getElementById(\"btn-tools-veriblelint\").addEventListener(\"click\", function() {\n enable_tab(\"tools\",\"veriblelint\")\n });\n\n document.getElementById(\"btn-tools-verilator\").addEventListener(\"click\", function() {\n enable_tab(\"tools\",\"verilator\")\n });\n\n document.getElementById(\"btn-tools-vivado\").addEventListener(\"click\", function() {\n enable_tab(\"tools\",\"vivado\")\n });\n\n document.getElementById(\"btn-tools-vunit\").addEventListener(\"click\", function() {\n enable_tab(\"tools\",\"vunit\")\n });\n\n document.getElementById(\"btn-tools-xcelium\").addEventListener(\"click\", function() {\n enable_tab(\"tools\",\"xcelium\")\n });\n\n document.getElementById(\"btn-tools-xsim\").addEventListener(\"click\", function() {\n enable_tab(\"tools\",\"xsim\")\n });\n\n document.getElementById(\"btn-tools-yosys\").addEventListener(\"click\", function() {\n enable_tab(\"tools\",\"yosys\")\n });\n\n document.getElementById(\"btn-tools-openfpga\").addEventListener(\"click\", function() {\n enable_tab(\"tools\",\"openfpga\")\n });\n\n document.getElementById(\"btn-tools-activehdl\").addEventListener(\"click\", function() {\n enable_tab(\"tools\",\"activehdl\")\n });\n\n document.getElementById(\"btn-tools-nvc\").addEventListener(\"click\", function() {\n enable_tab(\"tools\",\"nvc\")\n });\n\n document.getElementById(\"btn-tools-questa\").addEventListener(\"click\", function() {\n enable_tab(\"tools\",\"questa\")\n });\n\n /* Loop through all dropdown buttons to toggle between hiding and showing its dropdown content - \n This allows the user to have multiple dropdowns without any conflict */\n var dropdown = document.getElementsByClassName(\"dropdown-btn\");\n var i;\n\n for (i = 0; i < dropdown.length; i++) {\n dropdown[i].addEventListener(\"click\", function() {\n this.classList.toggle(\"active\");\n var dropdownContent = this.nextElementSibling;\n if (dropdownContent.style.display === \"block\") {\n dropdownContent.style.display = \"none\";\n } else {\n dropdownContent.style.display = \"block\";\n }\n });\n }\n \n const vscode = acquireVsCodeApi();\n\n function send_config_and_close(){\n const config = get_config();\n\n vscode.postMessage({\n command: 'set_config_and_close',\n config : config\n });\n }\n\n function send_config(){\n const config = get_config();\n\n vscode.postMessage({\n command: 'set_config',\n config : config\n });\n }\n\n function close_panel(){\n vscode.postMessage({\n command: 'close'\n });\n }\n\n function export_config(){\n vscode.postMessage({\n command: 'export'\n });\n }\n\n function load_config(){\n vscode.postMessage({\n command: 'load'\n });\n }\n\n window.addEventListener('message', event => {\n const message = event.data;\n switch (message.command) {\n case 'set_config':\n set_config(message.config);\n break;\n }\n });\n\n function get_config(){\n const config = {};\n let element_value;\n config[\"general\"] = {}\n config[\"general\"][\"general\"] = {}\n element_value = document.getElementById(\"general-general-logging\").checked;\n config[\"general\"][\"general\"][\"logging\"] = element_value\n element_value = document.getElementById(\"general-general-pypath\").value;\n config[\"general\"][\"general\"][\"pypath\"] = element_value\n element_value = document.getElementById(\"general-general-go_to_definition_vhdl\").checked;\n config[\"general\"][\"general\"][\"go_to_definition_vhdl\"] = element_value\n element_value = document.getElementById(\"general-general-go_to_definition_verilog\").checked;\n config[\"general\"][\"general\"][\"go_to_definition_verilog\"] = element_value\n element_value = document.getElementById(\"general-general-developer_mode\").checked;\n config[\"general\"][\"general\"][\"developer_mode\"] = element_value\n config[\"documentation\"] = {}\n config[\"documentation\"][\"general\"] = {}\n element_value = document.getElementById(\"documentation-general-language\").value;\n config[\"documentation\"][\"general\"][\"language\"] = element_value\n element_value = document.getElementById(\"documentation-general-symbol_vhdl\").value;\n config[\"documentation\"][\"general\"][\"symbol_vhdl\"] = element_value\n element_value = document.getElementById(\"documentation-general-symbol_verilog\").value;\n config[\"documentation\"][\"general\"][\"symbol_verilog\"] = element_value\n element_value = document.getElementById(\"documentation-general-dependency_graph\").checked;\n config[\"documentation\"][\"general\"][\"dependency_graph\"] = element_value\n element_value = document.getElementById(\"documentation-general-self_contained\").checked;\n config[\"documentation\"][\"general\"][\"self_contained\"] = element_value\n element_value = document.getElementById(\"documentation-general-fsm\").checked;\n config[\"documentation\"][\"general\"][\"fsm\"] = element_value\n element_value = document.getElementById(\"documentation-general-ports\").value;\n config[\"documentation\"][\"general\"][\"ports\"] = element_value\n element_value = document.getElementById(\"documentation-general-generics\").value;\n config[\"documentation\"][\"general\"][\"generics\"] = element_value\n element_value = document.getElementById(\"documentation-general-instantiations\").value;\n config[\"documentation\"][\"general\"][\"instantiations\"] = element_value\n element_value = document.getElementById(\"documentation-general-signals\").value;\n config[\"documentation\"][\"general\"][\"signals\"] = element_value\n element_value = document.getElementById(\"documentation-general-constants\").value;\n config[\"documentation\"][\"general\"][\"constants\"] = element_value\n element_value = document.getElementById(\"documentation-general-types\").value;\n config[\"documentation\"][\"general\"][\"types\"] = element_value\n element_value = document.getElementById(\"documentation-general-process\").value;\n config[\"documentation\"][\"general\"][\"process\"] = element_value\n element_value = document.getElementById(\"documentation-general-functions\").value;\n config[\"documentation\"][\"general\"][\"functions\"] = element_value\n element_value = document.getElementById(\"documentation-general-magic_config_path\").value;\n config[\"documentation\"][\"general\"][\"magic_config_path\"] = element_value\n config[\"editor\"] = {}\n config[\"editor\"][\"general\"] = {}\n element_value = document.getElementById(\"editor-general-stutter_comment_shortcuts\").checked;\n config[\"editor\"][\"general\"][\"stutter_comment_shortcuts\"] = element_value\n element_value = document.getElementById(\"editor-general-stutter_block_width\").value;\n config[\"editor\"][\"general\"][\"stutter_block_width\"] = element_value\n element_value = document.getElementById(\"editor-general-stutter_max_width\").value;\n config[\"editor\"][\"general\"][\"stutter_max_width\"] = element_value\n element_value = document.getElementById(\"editor-general-stutter_delimiters\").checked;\n config[\"editor\"][\"general\"][\"stutter_delimiters\"] = element_value\n element_value = document.getElementById(\"editor-general-stutter_bracket_shortcuts\").checked;\n config[\"editor\"][\"general\"][\"stutter_bracket_shortcuts\"] = element_value\n config[\"formatter\"] = {}\n config[\"formatter\"][\"general\"] = {}\n element_value = document.getElementById(\"formatter-general-formatter_verilog\").value;\n config[\"formatter\"][\"general\"][\"formatter_verilog\"] = element_value\n element_value = document.getElementById(\"formatter-general-formatter_vhdl\").value;\n config[\"formatter\"][\"general\"][\"formatter_vhdl\"] = element_value\n config[\"formatter\"][\"istyle\"] = {}\n element_value = document.getElementById(\"formatter-istyle-style\").value;\n config[\"formatter\"][\"istyle\"][\"style\"] = element_value\n element_value = document.getElementById(\"formatter-istyle-indentation_size\").value;\n config[\"formatter\"][\"istyle\"][\"indentation_size\"] = element_value\n config[\"formatter\"][\"s3sv\"] = {}\n element_value = document.getElementById(\"formatter-s3sv-one_bind_per_line\").checked;\n config[\"formatter\"][\"s3sv\"][\"one_bind_per_line\"] = element_value\n element_value = document.getElementById(\"formatter-s3sv-one_declaration_per_line\").checked;\n config[\"formatter\"][\"s3sv\"][\"one_declaration_per_line\"] = element_value\n element_value = document.getElementById(\"formatter-s3sv-use_tabs\").checked;\n config[\"formatter\"][\"s3sv\"][\"use_tabs\"] = element_value\n element_value = document.getElementById(\"formatter-s3sv-indentation_size\").value;\n config[\"formatter\"][\"s3sv\"][\"indentation_size\"] = element_value\n config[\"formatter\"][\"verible\"] = {}\n element_value = document.getElementById(\"formatter-verible-path\").value;\n config[\"formatter\"][\"verible\"][\"path\"] = element_value\n element_value = document.getElementById(\"formatter-verible-format_args\").value;\n config[\"formatter\"][\"verible\"][\"format_args\"] = element_value\n config[\"formatter\"][\"standalone\"] = {}\n element_value = document.getElementById(\"formatter-standalone-keyword_case\").value;\n config[\"formatter\"][\"standalone\"][\"keyword_case\"] = element_value\n element_value = document.getElementById(\"formatter-standalone-name_case\").value;\n config[\"formatter\"][\"standalone\"][\"name_case\"] = element_value\n element_value = document.getElementById(\"formatter-standalone-indentation\").value;\n config[\"formatter\"][\"standalone\"][\"indentation\"] = element_value\n element_value = document.getElementById(\"formatter-standalone-align_port_generic\").checked;\n config[\"formatter\"][\"standalone\"][\"align_port_generic\"] = element_value\n element_value = document.getElementById(\"formatter-standalone-align_comment\").checked;\n config[\"formatter\"][\"standalone\"][\"align_comment\"] = element_value\n element_value = document.getElementById(\"formatter-standalone-remove_comments\").checked;\n config[\"formatter\"][\"standalone\"][\"remove_comments\"] = element_value\n element_value = document.getElementById(\"formatter-standalone-remove_reports\").checked;\n config[\"formatter\"][\"standalone\"][\"remove_reports\"] = element_value\n element_value = document.getElementById(\"formatter-standalone-check_alias\").checked;\n config[\"formatter\"][\"standalone\"][\"check_alias\"] = element_value\n element_value = document.getElementById(\"formatter-standalone-new_line_after_then\").value;\n config[\"formatter\"][\"standalone\"][\"new_line_after_then\"] = element_value\n element_value = document.getElementById(\"formatter-standalone-new_line_after_semicolon\").value;\n config[\"formatter\"][\"standalone\"][\"new_line_after_semicolon\"] = element_value\n element_value = document.getElementById(\"formatter-standalone-new_line_after_else\").value;\n config[\"formatter\"][\"standalone\"][\"new_line_after_else\"] = element_value\n element_value = document.getElementById(\"formatter-standalone-new_line_after_port\").value;\n config[\"formatter\"][\"standalone\"][\"new_line_after_port\"] = element_value\n element_value = document.getElementById(\"formatter-standalone-new_line_after_generic\").value;\n config[\"formatter\"][\"standalone\"][\"new_line_after_generic\"] = element_value\n config[\"formatter\"][\"svg\"] = {}\n element_value = document.getElementById(\"formatter-svg-configuration\").value;\n config[\"formatter\"][\"svg\"][\"configuration\"] = element_value\n config[\"linter\"] = {}\n config[\"linter\"][\"general\"] = {}\n element_value = document.getElementById(\"linter-general-linter_vhdl\").value;\n config[\"linter\"][\"general\"][\"linter_vhdl\"] = element_value\n element_value = document.getElementById(\"linter-general-linter_verilog\").value;\n config[\"linter\"][\"general\"][\"linter_verilog\"] = element_value\n element_value = document.getElementById(\"linter-general-lstyle_verilog\").value;\n config[\"linter\"][\"general\"][\"lstyle_verilog\"] = element_value\n element_value = document.getElementById(\"linter-general-lstyle_vhdl\").value;\n config[\"linter\"][\"general\"][\"lstyle_vhdl\"] = element_value\n config[\"linter\"][\"ghdl\"] = {}\n element_value = document.getElementById(\"linter-ghdl-arguments\").value;\n config[\"linter\"][\"ghdl\"][\"arguments\"] = element_value\n config[\"linter\"][\"icarus\"] = {}\n element_value = document.getElementById(\"linter-icarus-arguments\").value;\n config[\"linter\"][\"icarus\"][\"arguments\"] = element_value\n config[\"linter\"][\"modelsim\"] = {}\n element_value = document.getElementById(\"linter-modelsim-vhdl_arguments\").value;\n config[\"linter\"][\"modelsim\"][\"vhdl_arguments\"] = element_value\n element_value = document.getElementById(\"linter-modelsim-verilog_arguments\").value;\n config[\"linter\"][\"modelsim\"][\"verilog_arguments\"] = element_value\n config[\"linter\"][\"verible\"] = {}\n element_value = document.getElementById(\"linter-verible-arguments\").value;\n config[\"linter\"][\"verible\"][\"arguments\"] = element_value\n config[\"linter\"][\"verilator\"] = {}\n element_value = document.getElementById(\"linter-verilator-arguments\").value;\n config[\"linter\"][\"verilator\"][\"arguments\"] = element_value\n config[\"linter\"][\"vivado\"] = {}\n element_value = document.getElementById(\"linter-vivado-vhdl_arguments\").value;\n config[\"linter\"][\"vivado\"][\"vhdl_arguments\"] = element_value\n element_value = document.getElementById(\"linter-vivado-verilog_arguments\").value;\n config[\"linter\"][\"vivado\"][\"verilog_arguments\"] = element_value\n config[\"linter\"][\"vsg\"] = {}\n element_value = document.getElementById(\"linter-vsg-arguments\").value;\n config[\"linter\"][\"vsg\"][\"arguments\"] = element_value\n config[\"schematic\"] = {}\n config[\"schematic\"][\"general\"] = {}\n element_value = document.getElementById(\"schematic-general-backend\").value;\n config[\"schematic\"][\"general\"][\"backend\"] = element_value\n element_value = document.getElementById(\"schematic-general-args\").value;\n config[\"schematic\"][\"general\"][\"args\"] = element_value\n config[\"templates\"] = {}\n config[\"templates\"][\"general\"] = {}\n element_value = document.getElementById(\"templates-general-header_file_path\").value;\n config[\"templates\"][\"general\"][\"header_file_path\"] = element_value\n element_value = document.getElementById(\"templates-general-indent\").value;\n config[\"templates\"][\"general\"][\"indent\"] = element_value\n element_value = document.getElementById(\"templates-general-clock_generation_style\").value;\n config[\"templates\"][\"general\"][\"clock_generation_style\"] = element_value\n element_value = document.getElementById(\"templates-general-instance_style\").value;\n config[\"templates\"][\"general\"][\"instance_style\"] = element_value\n config[\"tools\"] = {}\n config[\"tools\"][\"general\"] = {}\n element_value = document.getElementById(\"tools-general-select_tool\").value;\n config[\"tools\"][\"general\"][\"select_tool\"] = element_value\n element_value = document.getElementById(\"tools-general-execution_mode\").value;\n config[\"tools\"][\"general\"][\"execution_mode\"] = element_value\n element_value = document.getElementById(\"tools-general-waveform_viewer\").value;\n config[\"tools\"][\"general\"][\"waveform_viewer\"] = element_value\n config[\"tools\"][\"osvvm\"] = {}\n element_value = document.getElementById(\"tools-osvvm-installation_path\").value;\n config[\"tools\"][\"osvvm\"][\"installation_path\"] = element_value\n element_value = document.getElementById(\"tools-osvvm-tclsh_binary\").value;\n config[\"tools\"][\"osvvm\"][\"tclsh_binary\"] = element_value\n element_value = document.getElementById(\"tools-osvvm-simulator_name\").value;\n config[\"tools\"][\"osvvm\"][\"simulator_name\"] = element_value\n config[\"tools\"][\"ascenlint\"] = {}\n element_value = document.getElementById(\"tools-ascenlint-installation_path\").value;\n config[\"tools\"][\"ascenlint\"][\"installation_path\"] = element_value\n element_value = document.getElementById(\"tools-ascenlint-ascentlint_options\").value.split(',');\n config[\"tools\"][\"ascenlint\"][\"ascentlint_options\"] = element_value\n config[\"tools\"][\"cocotb\"] = {}\n element_value = document.getElementById(\"tools-cocotb-installation_path\").value;\n config[\"tools\"][\"cocotb\"][\"installation_path\"] = element_value\n element_value = document.getElementById(\"tools-cocotb-simulator_name\").value;\n config[\"tools\"][\"cocotb\"][\"simulator_name\"] = element_value\n element_value = document.getElementById(\"tools-cocotb-compile_args\").value;\n config[\"tools\"][\"cocotb\"][\"compile_args\"] = element_value\n element_value = document.getElementById(\"tools-cocotb-run_args\").value;\n config[\"tools\"][\"cocotb\"][\"run_args\"] = element_value\n element_value = document.getElementById(\"tools-cocotb-plusargs\").value;\n config[\"tools\"][\"cocotb\"][\"plusargs\"] = element_value\n config[\"tools\"][\"diamond\"] = {}\n element_value = document.getElementById(\"tools-diamond-installation_path\").value;\n config[\"tools\"][\"diamond\"][\"installation_path\"] = element_value\n element_value = document.getElementById(\"tools-diamond-part\").value;\n config[\"tools\"][\"diamond\"][\"part\"] = element_value\n config[\"tools\"][\"ghdl\"] = {}\n element_value = document.getElementById(\"tools-ghdl-installation_path\").value;\n config[\"tools\"][\"ghdl\"][\"installation_path\"] = element_value\n element_value = document.getElementById(\"tools-ghdl-waveform\").value;\n config[\"tools\"][\"ghdl\"][\"waveform\"] = element_value\n element_value = document.getElementById(\"tools-ghdl-analyze_options\").value.split(',');\n config[\"tools\"][\"ghdl\"][\"analyze_options\"] = element_value\n element_value = document.getElementById(\"tools-ghdl-run_options\").value.split(',');\n config[\"tools\"][\"ghdl\"][\"run_options\"] = element_value\n config[\"tools\"][\"icarus\"] = {}\n element_value = document.getElementById(\"tools-icarus-installation_path\").value;\n config[\"tools\"][\"icarus\"][\"installation_path\"] = element_value\n element_value = document.getElementById(\"tools-icarus-timescale\").value;\n config[\"tools\"][\"icarus\"][\"timescale\"] = element_value\n element_value = document.getElementById(\"tools-icarus-iverilog_options\").value.split(',');\n config[\"tools\"][\"icarus\"][\"iverilog_options\"] = element_value\n config[\"tools\"][\"icestorm\"] = {}\n element_value = document.getElementById(\"tools-icestorm-installation_path\").value;\n config[\"tools\"][\"icestorm\"][\"installation_path\"] = element_value\n element_value = document.getElementById(\"tools-icestorm-pnr\").value;\n config[\"tools\"][\"icestorm\"][\"pnr\"] = element_value\n element_value = document.getElementById(\"tools-icestorm-arch\").value;\n config[\"tools\"][\"icestorm\"][\"arch\"] = element_value\n element_value = document.getElementById(\"tools-icestorm-output_format\").value;\n config[\"tools\"][\"icestorm\"][\"output_format\"] = element_value\n element_value = document.getElementById(\"tools-icestorm-yosys_as_subtool\").checked;\n config[\"tools\"][\"icestorm\"][\"yosys_as_subtool\"] = element_value\n element_value = document.getElementById(\"tools-icestorm-makefile_name\").value;\n config[\"tools\"][\"icestorm\"][\"makefile_name\"] = element_value\n element_value = document.getElementById(\"tools-icestorm-arachne_pnr_options\").value.split(',');\n config[\"tools\"][\"icestorm\"][\"arachne_pnr_options\"] = element_value\n element_value = document.getElementById(\"tools-icestorm-nextpnr_options\").value.split(',');\n config[\"tools\"][\"icestorm\"][\"nextpnr_options\"] = element_value\n element_value = document.getElementById(\"tools-icestorm-yosys_synth_options\").value.split(',');\n config[\"tools\"][\"icestorm\"][\"yosys_synth_options\"] = element_value\n config[\"tools\"][\"ise\"] = {}\n element_value = document.getElementById(\"tools-ise-installation_path\").value;\n config[\"tools\"][\"ise\"][\"installation_path\"] = element_value\n element_value = document.getElementById(\"tools-ise-family\").value;\n config[\"tools\"][\"ise\"][\"family\"] = element_value\n element_value = document.getElementById(\"tools-ise-device\").value;\n config[\"tools\"][\"ise\"][\"device\"] = element_value\n element_value = document.getElementById(\"tools-ise-package\").value;\n config[\"tools\"][\"ise\"][\"package\"] = element_value\n element_value = document.getElementById(\"tools-ise-speed\").value;\n config[\"tools\"][\"ise\"][\"speed\"] = element_value\n config[\"tools\"][\"isem\"] = {}\n element_value = document.getElementById(\"tools-isem-installation_path\").value;\n config[\"tools\"][\"isem\"][\"installation_path\"] = element_value\n element_value = document.getElementById(\"tools-isem-fuse_options\").value.split(',');\n config[\"tools\"][\"isem\"][\"fuse_options\"] = element_value\n element_value = document.getElementById(\"tools-isem-isim_options\").value.split(',');\n config[\"tools\"][\"isem\"][\"isim_options\"] = element_value\n config[\"tools\"][\"modelsim\"] = {}\n element_value = document.getElementById(\"tools-modelsim-installation_path\").value;\n config[\"tools\"][\"modelsim\"][\"installation_path\"] = element_value\n element_value = document.getElementById(\"tools-modelsim-vcom_options\").value.split(',');\n config[\"tools\"][\"modelsim\"][\"vcom_options\"] = element_value\n element_value = document.getElementById(\"tools-modelsim-vlog_options\").value.split(',');\n config[\"tools\"][\"modelsim\"][\"vlog_options\"] = element_value\n element_value = document.getElementById(\"tools-modelsim-vsim_options\").value.split(',');\n config[\"tools\"][\"modelsim\"][\"vsim_options\"] = element_value\n config[\"tools\"][\"morty\"] = {}\n element_value = document.getElementById(\"tools-morty-installation_path\").value;\n config[\"tools\"][\"morty\"][\"installation_path\"] = element_value\n element_value = document.getElementById(\"tools-morty-morty_options\").value.split(',');\n config[\"tools\"][\"morty\"][\"morty_options\"] = element_value\n config[\"tools\"][\"quartus\"] = {}\n element_value = document.getElementById(\"tools-quartus-installation_path\").value;\n config[\"tools\"][\"quartus\"][\"installation_path\"] = element_value\n element_value = document.getElementById(\"tools-quartus-family\").value;\n config[\"tools\"][\"quartus\"][\"family\"] = element_value\n element_value = document.getElementById(\"tools-quartus-device\").value;\n config[\"tools\"][\"quartus\"][\"device\"] = element_value\n element_value = document.getElementById(\"tools-quartus-cable\").value;\n config[\"tools\"][\"quartus\"][\"cable\"] = element_value\n element_value = document.getElementById(\"tools-quartus-board_device_index\").value;\n config[\"tools\"][\"quartus\"][\"board_device_index\"] = element_value\n element_value = document.getElementById(\"tools-quartus-pnr\").value;\n config[\"tools\"][\"quartus\"][\"pnr\"] = element_value\n element_value = document.getElementById(\"tools-quartus-dse_options\").value.split(',');\n config[\"tools\"][\"quartus\"][\"dse_options\"] = element_value\n element_value = document.getElementById(\"tools-quartus-quartus_options\").value.split(',');\n config[\"tools\"][\"quartus\"][\"quartus_options\"] = element_value\n config[\"tools\"][\"radiant\"] = {}\n element_value = document.getElementById(\"tools-radiant-installation_path\").value;\n config[\"tools\"][\"radiant\"][\"installation_path\"] = element_value\n element_value = document.getElementById(\"tools-radiant-part\").value;\n config[\"tools\"][\"radiant\"][\"part\"] = element_value\n config[\"tools\"][\"rivierapro\"] = {}\n element_value = document.getElementById(\"tools-rivierapro-installation_path\").value;\n config[\"tools\"][\"rivierapro\"][\"installation_path\"] = element_value\n element_value = document.getElementById(\"tools-rivierapro-compilation_mode\").value;\n config[\"tools\"][\"rivierapro\"][\"compilation_mode\"] = element_value\n element_value = document.getElementById(\"tools-rivierapro-vlog_options\").value.split(',');\n config[\"tools\"][\"rivierapro\"][\"vlog_options\"] = element_value\n element_value = document.getElementById(\"tools-rivierapro-vsim_options\").value.split(',');\n config[\"tools\"][\"rivierapro\"][\"vsim_options\"] = element_value\n config[\"tools\"][\"siliconcompiler\"] = {}\n element_value = document.getElementById(\"tools-siliconcompiler-installation_path\").value;\n config[\"tools\"][\"siliconcompiler\"][\"installation_path\"] = element_value\n element_value = document.getElementById(\"tools-siliconcompiler-target\").value;\n config[\"tools\"][\"siliconcompiler\"][\"target\"] = element_value\n element_value = document.getElementById(\"tools-siliconcompiler-server_enable\").checked;\n config[\"tools\"][\"siliconcompiler\"][\"server_enable\"] = element_value\n element_value = document.getElementById(\"tools-siliconcompiler-server_address\").value;\n config[\"tools\"][\"siliconcompiler\"][\"server_address\"] = element_value\n element_value = document.getElementById(\"tools-siliconcompiler-server_username\").value;\n config[\"tools\"][\"siliconcompiler\"][\"server_username\"] = element_value\n element_value = document.getElementById(\"tools-siliconcompiler-server_password\").value;\n config[\"tools\"][\"siliconcompiler\"][\"server_password\"] = element_value\n config[\"tools\"][\"spyglass\"] = {}\n element_value = document.getElementById(\"tools-spyglass-installation_path\").value;\n config[\"tools\"][\"spyglass\"][\"installation_path\"] = element_value\n element_value = document.getElementById(\"tools-spyglass-methodology\").value;\n config[\"tools\"][\"spyglass\"][\"methodology\"] = element_value\n element_value = document.getElementById(\"tools-spyglass-goals\").value.split(',');\n config[\"tools\"][\"spyglass\"][\"goals\"] = element_value\n element_value = document.getElementById(\"tools-spyglass-spyglass_options\").value.split(',');\n config[\"tools\"][\"spyglass\"][\"spyglass_options\"] = element_value\n element_value = document.getElementById(\"tools-spyglass-rule_parameters\").value.split(',');\n config[\"tools\"][\"spyglass\"][\"rule_parameters\"] = element_value\n config[\"tools\"][\"symbiyosys\"] = {}\n element_value = document.getElementById(\"tools-symbiyosys-installation_path\").value;\n config[\"tools\"][\"symbiyosys\"][\"installation_path\"] = element_value\n element_value = document.getElementById(\"tools-symbiyosys-tasknames\").value.split(',');\n config[\"tools\"][\"symbiyosys\"][\"tasknames\"] = element_value\n config[\"tools\"][\"symbiflow\"] = {}\n element_value = document.getElementById(\"tools-symbiflow-installation_path\").value;\n config[\"tools\"][\"symbiflow\"][\"installation_path\"] = element_value\n element_value = document.getElementById(\"tools-symbiflow-package\").value;\n config[\"tools\"][\"symbiflow\"][\"package\"] = element_value\n element_value = document.getElementById(\"tools-symbiflow-part\").value;\n config[\"tools\"][\"symbiflow\"][\"part\"] = element_value\n element_value = document.getElementById(\"tools-symbiflow-vendor\").value;\n config[\"tools\"][\"symbiflow\"][\"vendor\"] = element_value\n element_value = document.getElementById(\"tools-symbiflow-pnr\").value;\n config[\"tools\"][\"symbiflow\"][\"pnr\"] = element_value\n element_value = document.getElementById(\"tools-symbiflow-vpr_options\").value;\n config[\"tools\"][\"symbiflow\"][\"vpr_options\"] = element_value\n element_value = document.getElementById(\"tools-symbiflow-environment_script\").value;\n config[\"tools\"][\"symbiflow\"][\"environment_script\"] = element_value\n config[\"tools\"][\"trellis\"] = {}\n element_value = document.getElementById(\"tools-trellis-installation_path\").value;\n config[\"tools\"][\"trellis\"][\"installation_path\"] = element_value\n element_value = document.getElementById(\"tools-trellis-arch\").value;\n config[\"tools\"][\"trellis\"][\"arch\"] = element_value\n element_value = document.getElementById(\"tools-trellis-output_format\").value;\n config[\"tools\"][\"trellis\"][\"output_format\"] = element_value\n element_value = document.getElementById(\"tools-trellis-yosys_as_subtool\").checked;\n config[\"tools\"][\"trellis\"][\"yosys_as_subtool\"] = element_value\n element_value = document.getElementById(\"tools-trellis-makefile_name\").value;\n config[\"tools\"][\"trellis\"][\"makefile_name\"] = element_value\n element_value = document.getElementById(\"tools-trellis-script_name\").value;\n config[\"tools\"][\"trellis\"][\"script_name\"] = element_value\n element_value = document.getElementById(\"tools-trellis-nextpnr_options\").value.split(',');\n config[\"tools\"][\"trellis\"][\"nextpnr_options\"] = element_value\n element_value = document.getElementById(\"tools-trellis-yosys_synth_options\").value.split(',');\n config[\"tools\"][\"trellis\"][\"yosys_synth_options\"] = element_value\n config[\"tools\"][\"vcs\"] = {}\n element_value = document.getElementById(\"tools-vcs-installation_path\").value;\n config[\"tools\"][\"vcs\"][\"installation_path\"] = element_value\n element_value = document.getElementById(\"tools-vcs-vcs_options\").value.split(',');\n config[\"tools\"][\"vcs\"][\"vcs_options\"] = element_value\n element_value = document.getElementById(\"tools-vcs-run_options\").value.split(',');\n config[\"tools\"][\"vcs\"][\"run_options\"] = element_value\n config[\"tools\"][\"veriblelint\"] = {}\n element_value = document.getElementById(\"tools-veriblelint-installation_path\").value;\n config[\"tools\"][\"veriblelint\"][\"installation_path\"] = element_value\n config[\"tools\"][\"verilator\"] = {}\n element_value = document.getElementById(\"tools-verilator-installation_path\").value;\n config[\"tools\"][\"verilator\"][\"installation_path\"] = element_value\n element_value = document.getElementById(\"tools-verilator-mode\").value;\n config[\"tools\"][\"verilator\"][\"mode\"] = element_value\n element_value = document.getElementById(\"tools-verilator-libs\").value.split(',');\n config[\"tools\"][\"verilator\"][\"libs\"] = element_value\n element_value = document.getElementById(\"tools-verilator-verilator_options\").value.split(',');\n config[\"tools\"][\"verilator\"][\"verilator_options\"] = element_value\n element_value = document.getElementById(\"tools-verilator-make_options\").value.split(',');\n config[\"tools\"][\"verilator\"][\"make_options\"] = element_value\n element_value = document.getElementById(\"tools-verilator-run_options\").value.split(',');\n config[\"tools\"][\"verilator\"][\"run_options\"] = element_value\n config[\"tools\"][\"vivado\"] = {}\n element_value = document.getElementById(\"tools-vivado-installation_path\").value;\n config[\"tools\"][\"vivado\"][\"installation_path\"] = element_value\n element_value = document.getElementById(\"tools-vivado-part\").value;\n config[\"tools\"][\"vivado\"][\"part\"] = element_value\n element_value = document.getElementById(\"tools-vivado-synth\").value;\n config[\"tools\"][\"vivado\"][\"synth\"] = element_value\n element_value = document.getElementById(\"tools-vivado-pnr\").value;\n config[\"tools\"][\"vivado\"][\"pnr\"] = element_value\n element_value = document.getElementById(\"tools-vivado-jtag_freq\").value;\n config[\"tools\"][\"vivado\"][\"jtag_freq\"] = element_value\n element_value = document.getElementById(\"tools-vivado-hw_target\").value;\n config[\"tools\"][\"vivado\"][\"hw_target\"] = element_value\n config[\"tools\"][\"vunit\"] = {}\n element_value = document.getElementById(\"tools-vunit-installation_path\").value;\n config[\"tools\"][\"vunit\"][\"installation_path\"] = element_value\n element_value = document.getElementById(\"tools-vunit-simulator_name\").value;\n config[\"tools\"][\"vunit\"][\"simulator_name\"] = element_value\n element_value = document.getElementById(\"tools-vunit-runpy_mode\").value;\n config[\"tools\"][\"vunit\"][\"runpy_mode\"] = element_value\n element_value = document.getElementById(\"tools-vunit-extra_options\").value.split(',');\n config[\"tools\"][\"vunit\"][\"extra_options\"] = element_value\n element_value = document.getElementById(\"tools-vunit-enable_array_util_lib\").checked;\n config[\"tools\"][\"vunit\"][\"enable_array_util_lib\"] = element_value\n element_value = document.getElementById(\"tools-vunit-enable_com_lib\").checked;\n config[\"tools\"][\"vunit\"][\"enable_com_lib\"] = element_value\n element_value = document.getElementById(\"tools-vunit-enable_json4vhdl_lib\").checked;\n config[\"tools\"][\"vunit\"][\"enable_json4vhdl_lib\"] = element_value\n element_value = document.getElementById(\"tools-vunit-enable_osvvm_lib\").checked;\n config[\"tools\"][\"vunit\"][\"enable_osvvm_lib\"] = element_value\n element_value = document.getElementById(\"tools-vunit-enable_random_lib\").checked;\n config[\"tools\"][\"vunit\"][\"enable_random_lib\"] = element_value\n element_value = document.getElementById(\"tools-vunit-enable_verification_components_lib\").checked;\n config[\"tools\"][\"vunit\"][\"enable_verification_components_lib\"] = element_value\n config[\"tools\"][\"xcelium\"] = {}\n element_value = document.getElementById(\"tools-xcelium-installation_path\").value;\n config[\"tools\"][\"xcelium\"][\"installation_path\"] = element_value\n element_value = document.getElementById(\"tools-xcelium-xmvhdl_options\").value.split(',');\n config[\"tools\"][\"xcelium\"][\"xmvhdl_options\"] = element_value\n element_value = document.getElementById(\"tools-xcelium-xmvlog_options\").value.split(',');\n config[\"tools\"][\"xcelium\"][\"xmvlog_options\"] = element_value\n element_value = document.getElementById(\"tools-xcelium-xmsim_options\").value.split(',');\n config[\"tools\"][\"xcelium\"][\"xmsim_options\"] = element_value\n element_value = document.getElementById(\"tools-xcelium-xrun_options\").value.split(',');\n config[\"tools\"][\"xcelium\"][\"xrun_options\"] = element_value\n config[\"tools\"][\"xsim\"] = {}\n element_value = document.getElementById(\"tools-xsim-installation_path\").value;\n config[\"tools\"][\"xsim\"][\"installation_path\"] = element_value\n element_value = document.getElementById(\"tools-xsim-xelab_options\").value.split(',');\n config[\"tools\"][\"xsim\"][\"xelab_options\"] = element_value\n element_value = document.getElementById(\"tools-xsim-xsim_options\").value.split(',');\n config[\"tools\"][\"xsim\"][\"xsim_options\"] = element_value\n config[\"tools\"][\"yosys\"] = {}\n element_value = document.getElementById(\"tools-yosys-installation_path\").value;\n config[\"tools\"][\"yosys\"][\"installation_path\"] = element_value\n element_value = document.getElementById(\"tools-yosys-arch\").value;\n config[\"tools\"][\"yosys\"][\"arch\"] = element_value\n element_value = document.getElementById(\"tools-yosys-output_format\").value;\n config[\"tools\"][\"yosys\"][\"output_format\"] = element_value\n element_value = document.getElementById(\"tools-yosys-yosys_as_subtool\").checked;\n config[\"tools\"][\"yosys\"][\"yosys_as_subtool\"] = element_value\n element_value = document.getElementById(\"tools-yosys-makefile_name\").value;\n config[\"tools\"][\"yosys\"][\"makefile_name\"] = element_value\n element_value = document.getElementById(\"tools-yosys-script_name\").value;\n config[\"tools\"][\"yosys\"][\"script_name\"] = element_value\n element_value = document.getElementById(\"tools-yosys-yosys_synth_options\").value.split(',');\n config[\"tools\"][\"yosys\"][\"yosys_synth_options\"] = element_value\n config[\"tools\"][\"openfpga\"] = {}\n element_value = document.getElementById(\"tools-openfpga-installation_path\").value;\n config[\"tools\"][\"openfpga\"][\"installation_path\"] = element_value\n element_value = document.getElementById(\"tools-openfpga-arch\").value;\n config[\"tools\"][\"openfpga\"][\"arch\"] = element_value\n element_value = document.getElementById(\"tools-openfpga-output_format\").value;\n config[\"tools\"][\"openfpga\"][\"output_format\"] = element_value\n element_value = document.getElementById(\"tools-openfpga-yosys_as_subtool\").checked;\n config[\"tools\"][\"openfpga\"][\"yosys_as_subtool\"] = element_value\n element_value = document.getElementById(\"tools-openfpga-makefile_name\").value;\n config[\"tools\"][\"openfpga\"][\"makefile_name\"] = element_value\n element_value = document.getElementById(\"tools-openfpga-script_name\").value;\n config[\"tools\"][\"openfpga\"][\"script_name\"] = element_value\n element_value = document.getElementById(\"tools-openfpga-yosys_synth_options\").value.split(',');\n config[\"tools\"][\"openfpga\"][\"yosys_synth_options\"] = element_value\n config[\"tools\"][\"activehdl\"] = {}\n element_value = document.getElementById(\"tools-activehdl-installation_path\").value;\n config[\"tools\"][\"activehdl\"][\"installation_path\"] = element_value\n config[\"tools\"][\"nvc\"] = {}\n element_value = document.getElementById(\"tools-nvc-installation_path\").value;\n config[\"tools\"][\"nvc\"][\"installation_path\"] = element_value\n config[\"tools\"][\"questa\"] = {}\n element_value = document.getElementById(\"tools-questa-installation_path\").value;\n config[\"tools\"][\"questa\"][\"installation_path\"] = element_value\n return config;\n }\n\n function set_config(config){\n document.getElementById(\"general-general-logging\").checked = config[\"general\"][\"general\"][\"logging\"];\n document.getElementById(\"general-general-pypath\").value = config[\"general\"][\"general\"][\"pypath\"];\n document.getElementById(\"general-general-go_to_definition_vhdl\").checked = config[\"general\"][\"general\"][\"go_to_definition_vhdl\"];\n document.getElementById(\"general-general-go_to_definition_verilog\").checked = config[\"general\"][\"general\"][\"go_to_definition_verilog\"];\n document.getElementById(\"general-general-developer_mode\").checked = config[\"general\"][\"general\"][\"developer_mode\"];\n document.getElementById(\"documentation-general-language\").value = config[\"documentation\"][\"general\"][\"language\"];\n document.getElementById(\"documentation-general-symbol_vhdl\").value = config[\"documentation\"][\"general\"][\"symbol_vhdl\"];\n document.getElementById(\"documentation-general-symbol_verilog\").value = config[\"documentation\"][\"general\"][\"symbol_verilog\"];\n document.getElementById(\"documentation-general-dependency_graph\").checked = config[\"documentation\"][\"general\"][\"dependency_graph\"];\n document.getElementById(\"documentation-general-self_contained\").checked = config[\"documentation\"][\"general\"][\"self_contained\"];\n document.getElementById(\"documentation-general-fsm\").checked = config[\"documentation\"][\"general\"][\"fsm\"];\n document.getElementById(\"documentation-general-ports\").value = config[\"documentation\"][\"general\"][\"ports\"];\n document.getElementById(\"documentation-general-generics\").value = config[\"documentation\"][\"general\"][\"generics\"];\n document.getElementById(\"documentation-general-instantiations\").value = config[\"documentation\"][\"general\"][\"instantiations\"];\n document.getElementById(\"documentation-general-signals\").value = config[\"documentation\"][\"general\"][\"signals\"];\n document.getElementById(\"documentation-general-constants\").value = config[\"documentation\"][\"general\"][\"constants\"];\n document.getElementById(\"documentation-general-types\").value = config[\"documentation\"][\"general\"][\"types\"];\n document.getElementById(\"documentation-general-process\").value = config[\"documentation\"][\"general\"][\"process\"];\n document.getElementById(\"documentation-general-functions\").value = config[\"documentation\"][\"general\"][\"functions\"];\n document.getElementById(\"documentation-general-magic_config_path\").value = config[\"documentation\"][\"general\"][\"magic_config_path\"];\n document.getElementById(\"editor-general-stutter_comment_shortcuts\").checked = config[\"editor\"][\"general\"][\"stutter_comment_shortcuts\"];\n document.getElementById(\"editor-general-stutter_block_width\").value = config[\"editor\"][\"general\"][\"stutter_block_width\"];\n document.getElementById(\"editor-general-stutter_max_width\").value = config[\"editor\"][\"general\"][\"stutter_max_width\"];\n document.getElementById(\"editor-general-stutter_delimiters\").checked = config[\"editor\"][\"general\"][\"stutter_delimiters\"];\n document.getElementById(\"editor-general-stutter_bracket_shortcuts\").checked = config[\"editor\"][\"general\"][\"stutter_bracket_shortcuts\"];\n document.getElementById(\"formatter-general-formatter_verilog\").value = config[\"formatter\"][\"general\"][\"formatter_verilog\"];\n document.getElementById(\"formatter-general-formatter_vhdl\").value = config[\"formatter\"][\"general\"][\"formatter_vhdl\"];\n document.getElementById(\"formatter-istyle-style\").value = config[\"formatter\"][\"istyle\"][\"style\"];\n document.getElementById(\"formatter-istyle-indentation_size\").value = config[\"formatter\"][\"istyle\"][\"indentation_size\"];\n document.getElementById(\"formatter-s3sv-one_bind_per_line\").checked = config[\"formatter\"][\"s3sv\"][\"one_bind_per_line\"];\n document.getElementById(\"formatter-s3sv-one_declaration_per_line\").checked = config[\"formatter\"][\"s3sv\"][\"one_declaration_per_line\"];\n document.getElementById(\"formatter-s3sv-use_tabs\").checked = config[\"formatter\"][\"s3sv\"][\"use_tabs\"];\n document.getElementById(\"formatter-s3sv-indentation_size\").value = config[\"formatter\"][\"s3sv\"][\"indentation_size\"];\n document.getElementById(\"formatter-verible-path\").value = config[\"formatter\"][\"verible\"][\"path\"];\n document.getElementById(\"formatter-verible-format_args\").value = config[\"formatter\"][\"verible\"][\"format_args\"];\n document.getElementById(\"formatter-standalone-keyword_case\").value = config[\"formatter\"][\"standalone\"][\"keyword_case\"];\n document.getElementById(\"formatter-standalone-name_case\").value = config[\"formatter\"][\"standalone\"][\"name_case\"];\n document.getElementById(\"formatter-standalone-indentation\").value = config[\"formatter\"][\"standalone\"][\"indentation\"];\n document.getElementById(\"formatter-standalone-align_port_generic\").checked = config[\"formatter\"][\"standalone\"][\"align_port_generic\"];\n document.getElementById(\"formatter-standalone-align_comment\").checked = config[\"formatter\"][\"standalone\"][\"align_comment\"];\n document.getElementById(\"formatter-standalone-remove_comments\").checked = config[\"formatter\"][\"standalone\"][\"remove_comments\"];\n document.getElementById(\"formatter-standalone-remove_reports\").checked = config[\"formatter\"][\"standalone\"][\"remove_reports\"];\n document.getElementById(\"formatter-standalone-check_alias\").checked = config[\"formatter\"][\"standalone\"][\"check_alias\"];\n document.getElementById(\"formatter-standalone-new_line_after_then\").value = config[\"formatter\"][\"standalone\"][\"new_line_after_then\"];\n document.getElementById(\"formatter-standalone-new_line_after_semicolon\").value = config[\"formatter\"][\"standalone\"][\"new_line_after_semicolon\"];\n document.getElementById(\"formatter-standalone-new_line_after_else\").value = config[\"formatter\"][\"standalone\"][\"new_line_after_else\"];\n document.getElementById(\"formatter-standalone-new_line_after_port\").value = config[\"formatter\"][\"standalone\"][\"new_line_after_port\"];\n document.getElementById(\"formatter-standalone-new_line_after_generic\").value = config[\"formatter\"][\"standalone\"][\"new_line_after_generic\"];\n document.getElementById(\"formatter-svg-configuration\").value = config[\"formatter\"][\"svg\"][\"configuration\"];\n document.getElementById(\"linter-general-linter_vhdl\").value = config[\"linter\"][\"general\"][\"linter_vhdl\"];\n document.getElementById(\"linter-general-linter_verilog\").value = config[\"linter\"][\"general\"][\"linter_verilog\"];\n document.getElementById(\"linter-general-lstyle_verilog\").value = config[\"linter\"][\"general\"][\"lstyle_verilog\"];\n document.getElementById(\"linter-general-lstyle_vhdl\").value = config[\"linter\"][\"general\"][\"lstyle_vhdl\"];\n document.getElementById(\"linter-ghdl-arguments\").value = config[\"linter\"][\"ghdl\"][\"arguments\"];\n document.getElementById(\"linter-icarus-arguments\").value = config[\"linter\"][\"icarus\"][\"arguments\"];\n document.getElementById(\"linter-modelsim-vhdl_arguments\").value = config[\"linter\"][\"modelsim\"][\"vhdl_arguments\"];\n document.getElementById(\"linter-modelsim-verilog_arguments\").value = config[\"linter\"][\"modelsim\"][\"verilog_arguments\"];\n document.getElementById(\"linter-verible-arguments\").value = config[\"linter\"][\"verible\"][\"arguments\"];\n document.getElementById(\"linter-verilator-arguments\").value = config[\"linter\"][\"verilator\"][\"arguments\"];\n document.getElementById(\"linter-vivado-vhdl_arguments\").value = config[\"linter\"][\"vivado\"][\"vhdl_arguments\"];\n document.getElementById(\"linter-vivado-verilog_arguments\").value = config[\"linter\"][\"vivado\"][\"verilog_arguments\"];\n document.getElementById(\"linter-vsg-arguments\").value = config[\"linter\"][\"vsg\"][\"arguments\"];\n document.getElementById(\"schematic-general-backend\").value = config[\"schematic\"][\"general\"][\"backend\"];\n document.getElementById(\"schematic-general-args\").value = config[\"schematic\"][\"general\"][\"args\"];\n document.getElementById(\"templates-general-header_file_path\").value = config[\"templates\"][\"general\"][\"header_file_path\"];\n document.getElementById(\"templates-general-indent\").value = config[\"templates\"][\"general\"][\"indent\"];\n document.getElementById(\"templates-general-clock_generation_style\").value = config[\"templates\"][\"general\"][\"clock_generation_style\"];\n document.getElementById(\"templates-general-instance_style\").value = config[\"templates\"][\"general\"][\"instance_style\"];\n document.getElementById(\"tools-general-select_tool\").value = config[\"tools\"][\"general\"][\"select_tool\"];\n document.getElementById(\"tools-general-execution_mode\").value = config[\"tools\"][\"general\"][\"execution_mode\"];\n document.getElementById(\"tools-general-waveform_viewer\").value = config[\"tools\"][\"general\"][\"waveform_viewer\"];\n document.getElementById(\"tools-osvvm-installation_path\").value = config[\"tools\"][\"osvvm\"][\"installation_path\"];\n document.getElementById(\"tools-osvvm-tclsh_binary\").value = config[\"tools\"][\"osvvm\"][\"tclsh_binary\"];\n document.getElementById(\"tools-osvvm-simulator_name\").value = config[\"tools\"][\"osvvm\"][\"simulator_name\"];\n document.getElementById(\"tools-ascenlint-installation_path\").value = config[\"tools\"][\"ascenlint\"][\"installation_path\"];\n element_value = document.getElementById(\"tools-ascenlint-ascentlint_options\").value = String(config[\"tools\"][\"ascenlint\"][\"ascentlint_options\"]);\n document.getElementById(\"tools-cocotb-installation_path\").value = config[\"tools\"][\"cocotb\"][\"installation_path\"];\n document.getElementById(\"tools-cocotb-simulator_name\").value = config[\"tools\"][\"cocotb\"][\"simulator_name\"];\n document.getElementById(\"tools-cocotb-compile_args\").value = config[\"tools\"][\"cocotb\"][\"compile_args\"];\n document.getElementById(\"tools-cocotb-run_args\").value = config[\"tools\"][\"cocotb\"][\"run_args\"];\n document.getElementById(\"tools-cocotb-plusargs\").value = config[\"tools\"][\"cocotb\"][\"plusargs\"];\n document.getElementById(\"tools-diamond-installation_path\").value = config[\"tools\"][\"diamond\"][\"installation_path\"];\n document.getElementById(\"tools-diamond-part\").value = config[\"tools\"][\"diamond\"][\"part\"];\n document.getElementById(\"tools-ghdl-installation_path\").value = config[\"tools\"][\"ghdl\"][\"installation_path\"];\n document.getElementById(\"tools-ghdl-waveform\").value = config[\"tools\"][\"ghdl\"][\"waveform\"];\n element_value = document.getElementById(\"tools-ghdl-analyze_options\").value = String(config[\"tools\"][\"ghdl\"][\"analyze_options\"]);\n element_value = document.getElementById(\"tools-ghdl-run_options\").value = String(config[\"tools\"][\"ghdl\"][\"run_options\"]);\n document.getElementById(\"tools-icarus-installation_path\").value = config[\"tools\"][\"icarus\"][\"installation_path\"];\n document.getElementById(\"tools-icarus-timescale\").value = config[\"tools\"][\"icarus\"][\"timescale\"];\n element_value = document.getElementById(\"tools-icarus-iverilog_options\").value = String(config[\"tools\"][\"icarus\"][\"iverilog_options\"]);\n document.getElementById(\"tools-icestorm-installation_path\").value = config[\"tools\"][\"icestorm\"][\"installation_path\"];\n document.getElementById(\"tools-icestorm-pnr\").value = config[\"tools\"][\"icestorm\"][\"pnr\"];\n document.getElementById(\"tools-icestorm-arch\").value = config[\"tools\"][\"icestorm\"][\"arch\"];\n document.getElementById(\"tools-icestorm-output_format\").value = config[\"tools\"][\"icestorm\"][\"output_format\"];\n document.getElementById(\"tools-icestorm-yosys_as_subtool\").checked = config[\"tools\"][\"icestorm\"][\"yosys_as_subtool\"];\n document.getElementById(\"tools-icestorm-makefile_name\").value = config[\"tools\"][\"icestorm\"][\"makefile_name\"];\n element_value = document.getElementById(\"tools-icestorm-arachne_pnr_options\").value = String(config[\"tools\"][\"icestorm\"][\"arachne_pnr_options\"]);\n element_value = document.getElementById(\"tools-icestorm-nextpnr_options\").value = String(config[\"tools\"][\"icestorm\"][\"nextpnr_options\"]);\n element_value = document.getElementById(\"tools-icestorm-yosys_synth_options\").value = String(config[\"tools\"][\"icestorm\"][\"yosys_synth_options\"]);\n document.getElementById(\"tools-ise-installation_path\").value = config[\"tools\"][\"ise\"][\"installation_path\"];\n document.getElementById(\"tools-ise-family\").value = config[\"tools\"][\"ise\"][\"family\"];\n document.getElementById(\"tools-ise-device\").value = config[\"tools\"][\"ise\"][\"device\"];\n document.getElementById(\"tools-ise-package\").value = config[\"tools\"][\"ise\"][\"package\"];\n document.getElementById(\"tools-ise-speed\").value = config[\"tools\"][\"ise\"][\"speed\"];\n document.getElementById(\"tools-isem-installation_path\").value = config[\"tools\"][\"isem\"][\"installation_path\"];\n element_value = document.getElementById(\"tools-isem-fuse_options\").value = String(config[\"tools\"][\"isem\"][\"fuse_options\"]);\n element_value = document.getElementById(\"tools-isem-isim_options\").value = String(config[\"tools\"][\"isem\"][\"isim_options\"]);\n document.getElementById(\"tools-modelsim-installation_path\").value = config[\"tools\"][\"modelsim\"][\"installation_path\"];\n element_value = document.getElementById(\"tools-modelsim-vcom_options\").value = String(config[\"tools\"][\"modelsim\"][\"vcom_options\"]);\n element_value = document.getElementById(\"tools-modelsim-vlog_options\").value = String(config[\"tools\"][\"modelsim\"][\"vlog_options\"]);\n element_value = document.getElementById(\"tools-modelsim-vsim_options\").value = String(config[\"tools\"][\"modelsim\"][\"vsim_options\"]);\n document.getElementById(\"tools-morty-installation_path\").value = config[\"tools\"][\"morty\"][\"installation_path\"];\n element_value = document.getElementById(\"tools-morty-morty_options\").value = String(config[\"tools\"][\"morty\"][\"morty_options\"]);\n document.getElementById(\"tools-quartus-installation_path\").value = config[\"tools\"][\"quartus\"][\"installation_path\"];\n document.getElementById(\"tools-quartus-family\").value = config[\"tools\"][\"quartus\"][\"family\"];\n document.getElementById(\"tools-quartus-device\").value = config[\"tools\"][\"quartus\"][\"device\"];\n document.getElementById(\"tools-quartus-cable\").value = config[\"tools\"][\"quartus\"][\"cable\"];\n document.getElementById(\"tools-quartus-board_device_index\").value = config[\"tools\"][\"quartus\"][\"board_device_index\"];\n document.getElementById(\"tools-quartus-pnr\").value = config[\"tools\"][\"quartus\"][\"pnr\"];\n element_value = document.getElementById(\"tools-quartus-dse_options\").value = String(config[\"tools\"][\"quartus\"][\"dse_options\"]);\n element_value = document.getElementById(\"tools-quartus-quartus_options\").value = String(config[\"tools\"][\"quartus\"][\"quartus_options\"]);\n document.getElementById(\"tools-radiant-installation_path\").value = config[\"tools\"][\"radiant\"][\"installation_path\"];\n document.getElementById(\"tools-radiant-part\").value = config[\"tools\"][\"radiant\"][\"part\"];\n document.getElementById(\"tools-rivierapro-installation_path\").value = config[\"tools\"][\"rivierapro\"][\"installation_path\"];\n document.getElementById(\"tools-rivierapro-compilation_mode\").value = config[\"tools\"][\"rivierapro\"][\"compilation_mode\"];\n element_value = document.getElementById(\"tools-rivierapro-vlog_options\").value = String(config[\"tools\"][\"rivierapro\"][\"vlog_options\"]);\n element_value = document.getElementById(\"tools-rivierapro-vsim_options\").value = String(config[\"tools\"][\"rivierapro\"][\"vsim_options\"]);\n document.getElementById(\"tools-siliconcompiler-installation_path\").value = config[\"tools\"][\"siliconcompiler\"][\"installation_path\"];\n document.getElementById(\"tools-siliconcompiler-target\").value = config[\"tools\"][\"siliconcompiler\"][\"target\"];\n document.getElementById(\"tools-siliconcompiler-server_enable\").checked = config[\"tools\"][\"siliconcompiler\"][\"server_enable\"];\n document.getElementById(\"tools-siliconcompiler-server_address\").value = config[\"tools\"][\"siliconcompiler\"][\"server_address\"];\n document.getElementById(\"tools-siliconcompiler-server_username\").value = config[\"tools\"][\"siliconcompiler\"][\"server_username\"];\n document.getElementById(\"tools-siliconcompiler-server_password\").value = config[\"tools\"][\"siliconcompiler\"][\"server_password\"];\n document.getElementById(\"tools-spyglass-installation_path\").value = config[\"tools\"][\"spyglass\"][\"installation_path\"];\n document.getElementById(\"tools-spyglass-methodology\").value = config[\"tools\"][\"spyglass\"][\"methodology\"];\n element_value = document.getElementById(\"tools-spyglass-goals\").value = String(config[\"tools\"][\"spyglass\"][\"goals\"]);\n element_value = document.getElementById(\"tools-spyglass-spyglass_options\").value = String(config[\"tools\"][\"spyglass\"][\"spyglass_options\"]);\n element_value = document.getElementById(\"tools-spyglass-rule_parameters\").value = String(config[\"tools\"][\"spyglass\"][\"rule_parameters\"]);\n document.getElementById(\"tools-symbiyosys-installation_path\").value = config[\"tools\"][\"symbiyosys\"][\"installation_path\"];\n element_value = document.getElementById(\"tools-symbiyosys-tasknames\").value = String(config[\"tools\"][\"symbiyosys\"][\"tasknames\"]);\n document.getElementById(\"tools-symbiflow-installation_path\").value = config[\"tools\"][\"symbiflow\"][\"installation_path\"];\n document.getElementById(\"tools-symbiflow-package\").value = config[\"tools\"][\"symbiflow\"][\"package\"];\n document.getElementById(\"tools-symbiflow-part\").value = config[\"tools\"][\"symbiflow\"][\"part\"];\n document.getElementById(\"tools-symbiflow-vendor\").value = config[\"tools\"][\"symbiflow\"][\"vendor\"];\n document.getElementById(\"tools-symbiflow-pnr\").value = config[\"tools\"][\"symbiflow\"][\"pnr\"];\n document.getElementById(\"tools-symbiflow-vpr_options\").value = config[\"tools\"][\"symbiflow\"][\"vpr_options\"];\n document.getElementById(\"tools-symbiflow-environment_script\").value = config[\"tools\"][\"symbiflow\"][\"environment_script\"];\n document.getElementById(\"tools-trellis-installation_path\").value = config[\"tools\"][\"trellis\"][\"installation_path\"];\n document.getElementById(\"tools-trellis-arch\").value = config[\"tools\"][\"trellis\"][\"arch\"];\n document.getElementById(\"tools-trellis-output_format\").value = config[\"tools\"][\"trellis\"][\"output_format\"];\n document.getElementById(\"tools-trellis-yosys_as_subtool\").checked = config[\"tools\"][\"trellis\"][\"yosys_as_subtool\"];\n document.getElementById(\"tools-trellis-makefile_name\").value = config[\"tools\"][\"trellis\"][\"makefile_name\"];\n document.getElementById(\"tools-trellis-script_name\").value = config[\"tools\"][\"trellis\"][\"script_name\"];\n element_value = document.getElementById(\"tools-trellis-nextpnr_options\").value = String(config[\"tools\"][\"trellis\"][\"nextpnr_options\"]);\n element_value = document.getElementById(\"tools-trellis-yosys_synth_options\").value = String(config[\"tools\"][\"trellis\"][\"yosys_synth_options\"]);\n document.getElementById(\"tools-vcs-installation_path\").value = config[\"tools\"][\"vcs\"][\"installation_path\"];\n element_value = document.getElementById(\"tools-vcs-vcs_options\").value = String(config[\"tools\"][\"vcs\"][\"vcs_options\"]);\n element_value = document.getElementById(\"tools-vcs-run_options\").value = String(config[\"tools\"][\"vcs\"][\"run_options\"]);\n document.getElementById(\"tools-veriblelint-installation_path\").value = config[\"tools\"][\"veriblelint\"][\"installation_path\"];\n document.getElementById(\"tools-verilator-installation_path\").value = config[\"tools\"][\"verilator\"][\"installation_path\"];\n document.getElementById(\"tools-verilator-mode\").value = config[\"tools\"][\"verilator\"][\"mode\"];\n element_value = document.getElementById(\"tools-verilator-libs\").value = String(config[\"tools\"][\"verilator\"][\"libs\"]);\n element_value = document.getElementById(\"tools-verilator-verilator_options\").value = String(config[\"tools\"][\"verilator\"][\"verilator_options\"]);\n element_value = document.getElementById(\"tools-verilator-make_options\").value = String(config[\"tools\"][\"verilator\"][\"make_options\"]);\n element_value = document.getElementById(\"tools-verilator-run_options\").value = String(config[\"tools\"][\"verilator\"][\"run_options\"]);\n document.getElementById(\"tools-vivado-installation_path\").value = config[\"tools\"][\"vivado\"][\"installation_path\"];\n document.getElementById(\"tools-vivado-part\").value = config[\"tools\"][\"vivado\"][\"part\"];\n document.getElementById(\"tools-vivado-synth\").value = config[\"tools\"][\"vivado\"][\"synth\"];\n document.getElementById(\"tools-vivado-pnr\").value = config[\"tools\"][\"vivado\"][\"pnr\"];\n document.getElementById(\"tools-vivado-jtag_freq\").value = config[\"tools\"][\"vivado\"][\"jtag_freq\"];\n document.getElementById(\"tools-vivado-hw_target\").value = config[\"tools\"][\"vivado\"][\"hw_target\"];\n document.getElementById(\"tools-vunit-installation_path\").value = config[\"tools\"][\"vunit\"][\"installation_path\"];\n document.getElementById(\"tools-vunit-simulator_name\").value = config[\"tools\"][\"vunit\"][\"simulator_name\"];\n document.getElementById(\"tools-vunit-runpy_mode\").value = config[\"tools\"][\"vunit\"][\"runpy_mode\"];\n element_value = document.getElementById(\"tools-vunit-extra_options\").value = String(config[\"tools\"][\"vunit\"][\"extra_options\"]);\n document.getElementById(\"tools-vunit-enable_array_util_lib\").checked = config[\"tools\"][\"vunit\"][\"enable_array_util_lib\"];\n document.getElementById(\"tools-vunit-enable_com_lib\").checked = config[\"tools\"][\"vunit\"][\"enable_com_lib\"];\n document.getElementById(\"tools-vunit-enable_json4vhdl_lib\").checked = config[\"tools\"][\"vunit\"][\"enable_json4vhdl_lib\"];\n document.getElementById(\"tools-vunit-enable_osvvm_lib\").checked = config[\"tools\"][\"vunit\"][\"enable_osvvm_lib\"];\n document.getElementById(\"tools-vunit-enable_random_lib\").checked = config[\"tools\"][\"vunit\"][\"enable_random_lib\"];\n document.getElementById(\"tools-vunit-enable_verification_components_lib\").checked = config[\"tools\"][\"vunit\"][\"enable_verification_components_lib\"];\n document.getElementById(\"tools-xcelium-installation_path\").value = config[\"tools\"][\"xcelium\"][\"installation_path\"];\n element_value = document.getElementById(\"tools-xcelium-xmvhdl_options\").value = String(config[\"tools\"][\"xcelium\"][\"xmvhdl_options\"]);\n element_value = document.getElementById(\"tools-xcelium-xmvlog_options\").value = String(config[\"tools\"][\"xcelium\"][\"xmvlog_options\"]);\n element_value = document.getElementById(\"tools-xcelium-xmsim_options\").value = String(config[\"tools\"][\"xcelium\"][\"xmsim_options\"]);\n element_value = document.getElementById(\"tools-xcelium-xrun_options\").value = String(config[\"tools\"][\"xcelium\"][\"xrun_options\"]);\n document.getElementById(\"tools-xsim-installation_path\").value = config[\"tools\"][\"xsim\"][\"installation_path\"];\n element_value = document.getElementById(\"tools-xsim-xelab_options\").value = String(config[\"tools\"][\"xsim\"][\"xelab_options\"]);\n element_value = document.getElementById(\"tools-xsim-xsim_options\").value = String(config[\"tools\"][\"xsim\"][\"xsim_options\"]);\n document.getElementById(\"tools-yosys-installation_path\").value = config[\"tools\"][\"yosys\"][\"installation_path\"];\n document.getElementById(\"tools-yosys-arch\").value = config[\"tools\"][\"yosys\"][\"arch\"];\n document.getElementById(\"tools-yosys-output_format\").value = config[\"tools\"][\"yosys\"][\"output_format\"];\n document.getElementById(\"tools-yosys-yosys_as_subtool\").checked = config[\"tools\"][\"yosys\"][\"yosys_as_subtool\"];\n document.getElementById(\"tools-yosys-makefile_name\").value = config[\"tools\"][\"yosys\"][\"makefile_name\"];\n document.getElementById(\"tools-yosys-script_name\").value = config[\"tools\"][\"yosys\"][\"script_name\"];\n element_value = document.getElementById(\"tools-yosys-yosys_synth_options\").value = String(config[\"tools\"][\"yosys\"][\"yosys_synth_options\"]);\n document.getElementById(\"tools-openfpga-installation_path\").value = config[\"tools\"][\"openfpga\"][\"installation_path\"];\n document.getElementById(\"tools-openfpga-arch\").value = config[\"tools\"][\"openfpga\"][\"arch\"];\n document.getElementById(\"tools-openfpga-output_format\").value = config[\"tools\"][\"openfpga\"][\"output_format\"];\n document.getElementById(\"tools-openfpga-yosys_as_subtool\").checked = config[\"tools\"][\"openfpga\"][\"yosys_as_subtool\"];\n document.getElementById(\"tools-openfpga-makefile_name\").value = config[\"tools\"][\"openfpga\"][\"makefile_name\"];\n document.getElementById(\"tools-openfpga-script_name\").value = config[\"tools\"][\"openfpga\"][\"script_name\"];\n element_value = document.getElementById(\"tools-openfpga-yosys_synth_options\").value = String(config[\"tools\"][\"openfpga\"][\"yosys_synth_options\"]);\n document.getElementById(\"tools-activehdl-installation_path\").value = config[\"tools\"][\"activehdl\"][\"installation_path\"];\n document.getElementById(\"tools-nvc-installation_path\").value = config[\"tools\"][\"nvc\"][\"installation_path\"];\n document.getElementById(\"tools-questa-installation_path\").value = config[\"tools\"][\"questa\"][\"installation_path\"];\n }\n\n function open_submenu_icon(x) {\n x.classList.toggle(\"change\");\n }\n</script>\n</body>\n</html>\n" = ...

Generated using TypeDoc