Skip to main content
Version: Next

Name refactoring

Renaming is a common operation related to refactoring source code and VS Code has a separate Rename Symbol command (F2). TerosHDL supports rename symbol across files. Press F2 and then type the new desired name and press Enter. All usages of the symbol will be renamed, across files.

caution

This feature is only supported on VHDL

Image 1: Code refactor cross file

Example Problem