Documentation for:

Generated by TerosHDL © 2020-2021 License GPLv3
Carlos Alberto Ruiz Naranjo (carlosruiznaranjo@gmail.com)
Ismael Perez Rojo (ismaelprojo@gmail.com)

Project revision 2021-09-06 13:36:20

%0 /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_application_image.vhd neorv32_application_image.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_boot_rom.vhd neorv32_boot_rom.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_bootloader_image.vhd neorv32_bootloader_image.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_bus_keeper.vhd neorv32_bus_keeper.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_busswitch.vhd neorv32_busswitch.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_cfs.vhd neorv32_cfs.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_cpu.vhd neorv32_cpu.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_cpu_alu.vhd neorv32_cpu_alu.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_cpu.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_cpu_alu.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_cpu_bus.vhd neorv32_cpu_bus.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_cpu.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_cpu_bus.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_cpu_control.vhd neorv32_cpu_control.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_cpu.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_cpu_control.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_cpu_regfile.vhd neorv32_cpu_regfile.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_cpu.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_cpu_regfile.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd neorv32_cpu_cp_fpu.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_cpu_alu.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd neorv32_cpu_cp_muldiv.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_cpu_alu.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd neorv32_cpu_cp_shifter.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_cpu_alu.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_cpu_decompressor.vhd neorv32_cpu_decompressor.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_cpu_control.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_cpu_decompressor.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_fifo.vhd neorv32_fifo.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_cpu_control.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_fifo.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_debug_dm.vhd neorv32_debug_dm.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_debug_dtm.vhd neorv32_debug_dtm.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_dmem.vhd neorv32_dmem.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_gpio.vhd neorv32_gpio.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_icache.vhd neorv32_icache.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_imem.vhd neorv32_imem.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_mtime.vhd neorv32_mtime.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_neoled.vhd neorv32_neoled.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_neoled.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_fifo.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_package.vhd neorv32_package.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_pwm.vhd neorv32_pwm.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_slink.vhd neorv32_slink.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_slink.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_fifo.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_spi.vhd neorv32_spi.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_sysinfo.vhd neorv32_sysinfo.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_top.vhd neorv32_top.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_top.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_boot_rom.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_top.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_bus_keeper.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_top.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_busswitch.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_top.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_cfs.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_top.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_cpu.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_top.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_debug_dm.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_top.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_debug_dtm.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_top.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_dmem.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_top.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_gpio.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_top.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_icache.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_top.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_imem.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_top.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_mtime.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_top.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_neoled.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_top.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_pwm.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_top.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_slink.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_top.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_spi.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_top.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_sysinfo.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_trng.vhd neorv32_trng.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_top.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_trng.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_twi.vhd neorv32_twi.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_top.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_twi.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_uart.vhd neorv32_uart.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_top.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_uart.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_wdt.vhd neorv32_wdt.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_top.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_wdt.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_wishbone.vhd neorv32_wishbone.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_top.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_wishbone.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_xirq.vhd neorv32_xirq.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_top.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_xirq.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/processor_templates/neorv32_ProcessorTop_Minimal.vhd neorv32_ProcessorTop_Minimal.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/processor_templates/neorv32_ProcessorTop_MinimalBoot.vhd neorv32_ProcessorTop_MinimalBoot.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/processor_templates/neorv32_ProcessorTop_UP5KDemo.vhd neorv32_ProcessorTop_UP5KDemo.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/system_integration/neorv32_ProcessorTop_stdlogic.vhd neorv32_ProcessorTop_stdlogic.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/system_integration/neorv32_ProcessorTop_stdlogic.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_top.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/system_integration/neorv32_SystemTop_axi4lite.vhd neorv32_SystemTop_axi4lite.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/system_integration/neorv32_SystemTop_axi4lite.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_top.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/test_setups/neorv32_test_setup_approm.vhd neorv32_test_setup_approm.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/test_setups/neorv32_test_setup_approm.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_top.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd neorv32_test_setup_bootloader.vhd /home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd->/home/runner/work/teroshdl-documenter-demo/teroshdl-documenter-demo/neorv32/rtl/core/neorv32_top.vhd

Designs